数字式电容测量仪设计方案

数字式电容测量仪设计方案
数字式电容测量仪设计方案

本设计是基于555定时器,连接构成多谐振荡器以及单稳态触发器而测量电容的。单稳态触发器中所涉及的电容,即是被测量的电容x C。其脉冲输入信号是555定时器构成的多谐振荡器所产生。信号的频率可以根据所选的电阻,电容的参数而调节。这样便可以定量的确定被测电容的容值范围。因为单稳态触发器的输出脉宽是根据电容x C值的不同而不同的,所以脉宽即是对应的电容值,其精度可以达到0.1%。然后在电路中加入一个由LM741以及一个电容和一个电阻构成的阻容平滑滤波器,将单稳态触发器输出的信号滤波,使最终输出电压o v与被测量的电容值呈线性关系。最后是输出电压的数字化,将o v输入到7448译码器中翻译成BCD码,输入到LED数码管中显示出来

关键词:电容,555定时器,滤波器,线性,译码器,LED数码管

一、测量系统的方案设计 (3)

1.1、测量部分的系统方案设计 (3)

1.1.1、恒亚充电法测量 (3)

1.1.2、恒流充电法测量 (3)

1.1.3、脉冲计数法测量 (3)

1.2、测量信号数字化系统方案选择 (3)

1.2.1、利用单片机进行编程翻译 (4)

1.2.2、利用译码器进行翻译 (4)

二、单元电路的设计及原理 (4)

2.1、电容值测量电路及原理 (4)

2.1.1、多谐振荡器电路图及工作原理 (6)

2.1.2、单稳态触发器电路图及工作原理 (7)

2.1.3、滤波器工作电路图及原理 (8)

2.2、模拟信号的处理以及数字化显示 (9)

三、系统参数设定 (10)

四、结论及谢词 (11)

4.1、结论 (11)

4.2、谢词 (11)

参考文献··································································12附表:元器件明细

表 (13)

一 系统方案设计

1.1 测量部分的系统方案设计

1.1.1:恒压充电法测量。

用一个电阻和电容串联,用恒压源对电容进行充电,然后根据电容充电的曲线超过某个固定电压所需要的时间,利用曲线拟合的方法测量。测量所使用的原始公式是:t u

i d C d ?=。可见电容的值和电压以及时间呈微分关系。用这种方法测量,时间和容值是非线性的。因此测量难度高,精度低,并且难以实现数字化。1.1.2:恒流充电法测量。

用恒流源对电容充电,此时电容的容量和充电时间是成正比的,所以可以利用AD 或者比较功能同某个固定电压比较,来实现电容测量。测量所用的原始公式是: q C u =.q i t =?.所以i t U c

?=。恒流源的电流大小是已知的,时间和电压也可以测量出来。由上面的公式即可求得电容的大小。使用这种方法来测量,精度较上一种方法有所提高,且便于操作和实现。但要使用恒流源,恒流源的的设计要求很高,且达不到测量所需要的精度要求,因此这种方法也不适用。1.1.3:用脉冲计数法测量电容。

由555定时器两个电阻以及一个电容,构成的多谐振荡电路,产生较为稳定的振荡频率计算的公式为:f ≈121.43(2)R R C

+,这个频率可以自己选择电阻和电容的值确定。再由一个555定时器和一个电阻以及一个电容x C 构成单稳态触

发器,并将以上述多谐振荡电路产生的振荡信号1o v 作为单稳态触发器的触发信

号。根据电容x C 的大小来调节占空比 1.1w x T RC ≈。LM741与两个电容以及一个

电阻构成阻容有源滤波器。将单稳态触发器所产生的输出信号2o v 滤波成为稳定

的输出电压o v 。此方法测量比较精确,并且容易调节所测量电容值的范围<只需调节构成单稳态触发器的电阻的大小即可)。综合上述的三种方法,我所选择的是第三种方法

1.2 测量信号数字化系统方案选择

1.2.1:利用单片机进行编程翻译。

将测量得出的电压信号值,输入事先编好程序的单片机当中,应用单片机将电压信号翻译出来送入LED 数码显示管中,显示出对应的数据。选用的单片机可以为凌阳单片机。该方法显示出的数据精确。而且设计,操作都很简单且功能易于扩展,但要用到单片机,因此设计成本将大大提高很不经济,且测量环境要求较高。1.2.2:利用译码器进行翻译。

将测量出的结果输入译码器当中,利用译码器将电信号翻译,然后输入到LED 数码显示管中,最后显示出对应的数据。选择的译码器可以为7448译码器。该方法所用到的器材较为便宜,且做成的成品便携。但显示不是非常精确,并且功能会很单一。这里测量精确要求不是很高,故选择第二种方案。

二 单元电路的设计及原理

此方案主要分为两个方面:1.电容量的测量,最后得出来的结果是最后输出电压信号。2.将输出来的电压信号经翻译成为数字信号,由数码管显示出来。2.1 电容值测量电路及原理

2.1.1多谐振荡器电路图及工作原理

555定时器构成一个多谐振荡器,其电路图如图2-1-1所示

图2-1-1 555定时器构成多谐振荡器

其电路工作原理是:接通电源后,电容C 被充电,当c v 上升到23

CC V 时,使o v 为低电平,同时放电三极管T 导通,此时电容C 通过2R 和T 放电,c v 下降。当c v 下降到3

CC V 时,o v 翻转为高电平。电容器充放电所需时间为:22ln 20.7pL t R C R C =≈当放电结束时,T 截止,CC V 将通过1R 、2R 向电容器C 充电,c v 由3CC V 上升到23

CC V 所需的时间为:1212()ln 20.7()pH t R R C R R C =+≈+ 当上升到23

CC V 时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到一个周期性的矩形波。其振荡频率为:121 1.43(2)pL pH f t t R R C

=

≈++2.1.2 单稳态触发器电路图及工作原理 555定时器构成一个单稳态触发器,其电路图如图2-1-2

图2-1-2

图2-1-2

其工作原理是:没有触发信号时1v 处于高电平<1v >

3

CC V ),如果接通电源后Q=0 o v =0,T 导通,电容通过放电三极管放电,使c v =0,o v 保持低电平不

变。如果电源接通后Q=1,放电三极管T 就会截止,电源通过电阻R 向电容x C 充电,当c v 上升到23

CC V 时,由于R=0,S=1锁存器置0,o v 为低电平。此时放电三极管T 导通,电容x C 放电,o v 保持低电平不变。因此,电路通电后在

没有触发信号时,电路只有一种稳定状态o v =0。若触发输入端施加触发信号<1v <3

CC V ),电路的输出状态由低电平跳变为高电平,电路进入暂稳态,放电三极管T 截止。此后电容x C 充电,当x C 充电至c v =23

CC V 时,电路的输出端电压o v 由高电平翻转为低电平,同时T 导通,于是电容x C 放电,电路返回到稳定状态。如果忽略T 的饱和压降,则c v 从零电平上升到

23

CC V 的时间,即为输出电压o v 的脉宽w t ln3 1.1w x x t RC RC =≈

通常R 的取值在几百欧到几兆欧之间,电容的取值为几百皮法到几百微法。这种电路产生的脉冲宽度可以从几个微秒到几分钟,精度可以达到0.1%。这样就可以保证测量时的精度。也可以保证测量的范围能够达到100pF~100uF 。2.1.3 率波器工作电路图及原理利用LM741与电容,电阻组成阻

容有源滤波器。其电路结构如图2-1-3所示。

图2-1-3 LM741组成的阻容滤波器

其工作原理是LM741可以对占空比为w t 的信号o v 进行平滑滤波,使最后产生出来的信号<即是图2-1-3中的 )与被测量的x C 呈线性关系。2.1.4 滤波器工作电路图及原理

测试部分所用的总的电路图如图2-1-4所示。图中的x C 即是被测量的电容。图中的电源是测量电路使用的电源,其值为15~18伏特之间。

图2-1-4 测量电路总图

2.2 模拟信号的处理以及数字化显示

在这个环节中,直接采用将信号送入7448译码器中进行翻译,并将翻译成的 BCD 码送入LED 数码管中,显示出来。其电路结构如图2-2-1图2.2给出BCD —七段显示译码器7448的逻辑图。如果不考虑逻辑图中由G 1~G 4组成的附加控制电路的影响<即G 3和G 4的输出为高电平),则Y a ~Y g 与

A 3、A 2、A 1、A 0之间的逻辑关系为:?????????????+=++=+=++=+=++=++=0

121230112023012012012012012230120121302130123A A A A A A Y A A A A A A A Y A A A Y A A A A A A A A A Y A A A A A Y A A A A A A A A Y A A A A A A A A Y g f e

d c b a

0123

图2-2-1 BCD—七段显示译码器7448的逻辑图

根据BCD—七段显示译码器的逻辑关系式和逻辑图可列出真值表如表2—2 LED数码管的构造和显示原理:

LED数码管分为共阳极与共阴极两种,如图2—2—2

LED数码管的特点:

1.能在低电压、小电流条件下驱动发光,能与CMOS、TTL电路兼容。

2.发光相应时间极短<<0.1us),高频特性好,单色性好,亮度高。

3.体积小,重量轻,抗冲击性好。

4.

寿命长,使用寿命在10万小时以上,甚至可以达到100万小时。 5.

成本低。

三 系统参数设定

系统的参数决定了系统测量的范围在触发器中,本设计在单稳态触发器中的电阻值取为47K Ω, 由公式:

ln3 1.1w x x t RC RC =≈

计算可得。被测电阻在100pF~100uF 内产生的脉宽为0.000047s~0.47s 。所以多谐振荡器产生的信号振荡频率应该小于2Hz 。即f <2Hz 取多谐振荡器中的电阻值1R =2R =150K Ω。再由公式:

121 1.43(2)pL pH f t t R R C

=≈++ 计算可得多谐振荡器中电容可以取为1.5uF 。

在数字显示电路中,因为是使用了7448译码器译码,则相应的LED 数码管选为共阴极数码管。

其他元器件的取值以及相应的规格详见附录

四设计结论以及谢词

4.1 设计结论

本设计主要应用于100pF~100uF电容器的测量。设计中应用了单稳态触发器,多谐振荡器,滤波器,译码器,LED数码管显示器等等。测量比较精确,显示速度快,能适应多种环境下的电容器测量。

4.2 谢词

此次毕业设计中我投入了最大的热情和精力,从设计电路图,选择元器件,使用EWB仿真电路,其过程中出现了不少的问题,我没有气馁,没有退缩,积极查阅资料,并且一遍又一遍的重复实践,直到我期望的结果实现。事实也证明我的努力没有白费,认真严谨的实习态度给我带来了成功的喜悦!

通过这次电子系统设计,我掌握了设计一个数字电路的基本方法和基本步骤,实际解

决了设计中出现的问题,增强了寻找问题,解决问题的能力。此次设计的成功不仅帮助我更好地掌握书本知识,尤其重要的是增强了我的自信,培养了我独立思考的能力!

通过这次的电子设计,我感觉有很大的收获:首先,通过学习使自己对课本上的知识可

以应用于实际,使的理论与实际相结合,加深自己对课本知识的更好理解,同时实习也段练

了我个人的动手能力:能够充分利用书籍和网络资源查阅资料,增加了许多课本以外的知识。能对 protel 99、和 EWB等仿真软件操作,能达到学以致用。对我们学生来说,理论与实际同样重要。

在这过程中,,当我遇到些不能解决的问题时,我及时查阅资料以及向同学请教,使我们少走弯路,顺利完成毕业设计。另外对此次设计帮助过我的老师及同学,我对你们表示感谢,谢谢你们!

参考文献

1康华光.电子技术基础<第五版).北京:高等教育出版社,2006年

2 李军.无线电元器件精汇.北京:人民邮电出版社

3 王松武,于鑫,武思军.电子创新设计与实践.北京:国防工业出版社.2005年

4 黄智伟.全国大学生电子设计竞赛电路设计.北京:北京航空航天大学出版社,2006年

5 及力,Protel 99 SE 原理图与PCB设计教程. 北京:电子工业出版社,2003

附表元器件明细表

简易数字电容测量仪

电子技术课程设计报告——简易数字电容测量仪的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:简易数字电容测量仪班级学号: 学生姓名: 目录

一、预备知识.................. 错误!未定义书签。 二、课程设计题目:简易数字电容测量仪的设计错误!未定义书签。 三、课程设计目的及基本要求.... 错误!未定义书签。 四、设计内容提要及说明........ 错误!未定义书签。 4.1设计内容...................................... 错误!未定义书签。 4.2设计说明...................................... 错误!未定义书签。 五、原理图及原理说明 ...................... 错误!未定义书签。 5.1功能模块电路原理图................... 错误!未定义书签。 5.2模块工作原理说明 ...................... 错误!未定义书签。 六、调试...........................................................................错误!未定义书签。 七、设计中涉及的实验仪器和工具.. 错误!未定义书签。 八、课程设计心得体会 ...................... 错误!未定义书签。 九、参考文献 ...................................... 错误!未定义书签。

一、预备知识 关于数字式简易数字电容测试仪的设计,我们提出了三种设计方法和思路。在具体操作中,经过对资料的收集、分析,研究与对比,最终选择了简单易懂,而且精度较高的方法,即门控法。 本方法的基本理论是单稳态触发器电路的输出脉宽wt与电容C成正比,再通过一系列的控制,计数,锁存,显示电路实现了对电容的一般测试与数字显示。在本次数电课程设计的同时,对于中大规模集成电路从认识到分析、再到整体框图设计、单元模块设计、最终到电路的模拟和实际电路的成形有了一定的认识,同时使我们在电子设计方面有了一定的实际动手能力,也为这次数电课程设计打下了坚实的基础。 数字电子课程设计是电子计数综合应用的实践环节,同时也是增强学生实践与动手能力,这也是教学环节的实践部分之一。本文设计的简易数字式电容测试仪,既融合了电子技术的基础知识,又与生产实际结合紧密,能够满足实验教学需要和科研开发应用的需要,同时,电路简洁,条理清晰,便于沟通和交流学习,具有较强的通用性和实用性。 在本次课程设计过程中得到了各方面的支持和帮助,在此特别向数子电子技术老师表示由衷的感谢。由于设计时间和水平的限制,如有不足之处,敬请指正

数字式电容测试仪的设计

数字式电容测试仪的设计

目录 摘要 ................................................................................... 综述 (1) 1 方案设计与分析 (2) 1.1恒压充电法测量 (2) 1.2恒流充电法测量 (2) 1.3脉冲计数法测量 (2) 2 电路设计框图及功能描述 (3) 2.1 电路设计框图 (3) 2.2 电路设计功能描述 (3) 3 电路原理设计及参数计算 (4) 3.1电路原理设计 (4) 3.2单元电路设计与参数计算 (4) 3.2.1控制器电路 (4) 3.2.2时钟脉冲发生器 (5) 3.2.3计数和显示电路 (6) 4 单元电路仿真波形及调试 (8) 4.1多谐振荡器 (8) 4.2单稳态触发器 (9) 4.2.1稳定状态 (9) 4.2.2暂稳态状态 (9)

4.2.3 自动回复状态 (9) 4.3电路原理图与仿真结果显示 (10) 4.3.1电路原理图 (10) 4.3.2仿真结果显示 (11) 5课程设计体会 (14) 参考文献 (15)

摘要 本设计是基于555定时器,连接构成多谐振荡器以及单稳态触发器而测量电容的。单稳态触发器中所涉及的电容,即是被测量的电容 C。其脉冲输入信号是555定时器 x 构成的多谐振荡器所产生。信号的频率可以根据所选的电阻,电容的参数而调节。这样便可以定量的确定被测电容的容值范围。因为单稳态触发器的输出脉宽是根据电容 C x 值的不同而不同的,所以脉宽即是对应的电容值,其精确度可以达到0.1%。单稳态触发器输出的信号滤波,使最终输出电压 v与被测量的电容值呈线性关系。最后是输出电 o 压的数字化,将 v输入到74160计数译码器中翻译成BCD码,输入到LED数码管中显示 o 出来。 关键词:电容;555定时器;线性;计数译码器;LED数码管

简易数字式电阻、电容和电感测量仪设计

简易数字式电阻、电容和电感测量仪设计报告 摘要:本系统利用TI公司的16位超低功耗单片机MSP430F149和ICL8038精密函数发生器实现对电阻、电容和电感参数的测量。本系统以自制电源作为LRC数字电桥和各个主要控制芯片的输入电源,并采用ICL8038芯片产生高精度的正弦波信号流经待测的电阻、电容或者电感和标准电阻的串联电路,通过测量电阻、电容或者电感和标准电阻各自的电压,利用电压比例计算的方法推算出电阻值、电容值或者电感值。利用MSP430F149单片机控制测量和计算结果,运用自校准电路提高测量精度,同时用差压法,消除了电源波动对结果的影响。测量结果采用12864液晶模块实时显示。实验测试结果表明,本系统性能稳定,测量精度高。 关键词:LRC 数字电桥、电压比例法、液晶模块、MSP430F149、电阻电容电感测量 一、设计内容及功能 1.1设计内容 设计并制作一台简易数字式电阻、电容和电感参数测量仪,由测量对象、测量仪、LCD 显示和自制电源组成,系统模块划分如下图所示: 测量对象 LCD显示 电阻/电容/电感 简易的数字电阻、电容和电感测量仪 自制电源 1.2 具体要求 1. 测量范围 (1)基本测量范围:电阻100Ω~1MΩ;电容100pF~10000pF;电感100μH~10mH。 (2)发挥测量范围:电阻10Ω~10MΩ;电容50pF~10μF;电感50μH~1H。 2. 测量精度 (1)基本测量精度:电阻±5% ;电容±10% ;电感±5% 。 (2)发挥测量精度:电阻±2% ;电容±8% ;电感±8% 。 3. 利用128*64液晶显示器,显示测量数值、类型和单位。 4. 自制电源 5. 使用按键来设置测量的种类和单位 1.3系统功能 1. 基本完成以上具体要求 2. 使用三个按键分别控制R、C、L的测试 3. 采用液晶显示器显示测量结果 二、系统方案设计与选择 电阻、电容、电感测试仪的设计目前有多种方案可以实现,例如、使用可编程逻辑控制器(PLC)、振荡电路与单片机结合或CPLD与EDA相结合等等来实现。在设计前本文对各种方案进行了比较:

基于51单片机的数字电容测量仪设计说明

电子系统设计创新与实习报告 设计课题基于单片机的电容测量仪设计 学院信息科学与工程 学生姓名 学号 专业班级 队友 指导教师 设计时间2014.6.4-2014.7.3

本设计详细介绍了一种基于单片机的数字式电容测量仪设计方案及实现方法。设计的主要方法是采用555芯片构成单稳态触发器,将电容容量转换为脉冲宽度。通过单片机的计时器测量脉宽, 根据已知的R值,通过单片机的运算功能,计算出电容容量,最后,再通过单片机的普通I/O口控制液晶屏显示出电容容量的计算结果。系统的测量范围为10pF~ 500uF, 具有多个量程,可根据用户需要由用户选择,与用户的交互是通过键盘实现,不同量程的实现是通过单片机的I/O口控制继电器的吸合与断开来选择不同的R值,从而实现不同的量程。同时,本设计注重设计方法及流程,首先根据原理设计电路,再通过protues 仿真,利用keil编程,进而借助altium designer 制作PCB,最后到焊接元器件,调试直至成功。

1 系统方案设计 1.1 设计说明及要求 1.1.1 设计说明 框图中的外接电容是定时电路中的一部分。当外接电容的容量不同时,与定时电路所对应的时间也有所不同,即C=f(t),而时间与脉冲数目成正比,脉冲数目可以通过计数译码获得。 1.1.2 设计要求 (1)基本要求 ①自制稳压电源。 ②被测电容的容量在10pF至10000μF范围内 ③设计四个的测量量程。 ④显示测量结果,测量误差小于2.5%。 数字显示:显示分辨率:每档满量程的0.1%; 电容测量:电压可选择5V,25V,50V; 为实现该设计,达到相应的设计要求,本次设计中考虑了三种设计方案,三种设计方案中主要区别在于硬件电路和软件设计的不同,对于本设计,三种方案均能够实现,最后根据设计要求、可行性和设计成本的考虑选择了基于STC89C52单片机和555芯片构成的单

简易电阻、电容和电感测试仪设计说明

课程设计任务书 学生:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电阻、电容和电感测试仪设计 初始条件: LM317 LM337 NE555 NE5532 STC89C52 TLC549 ICL7660 1602液晶 要求完成的主要任务: 1、测量围:电阻 100Ω-1MΩ; 电容 100pF-10000pF; 电感 100μH-10mH。 2、测量精度:5%。 3、制作1602液晶显示器,显示测量数值,并用发光二级管分别指示所测元件的类别。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:__________ 年月日

目录 摘要 (3) ABSTRACT (4) 1、绪论 (5) 2、电路方案的比较与论证 (5) 2.1电阻测量方案 (5) 2.2电容测量方案 (7) 2.3电感测量方案 (8) 3、核心元器件介绍 (10) 3.1LM317的介绍 (10) 3.2LM337的介绍 (11) 3.3NE555的介绍 (11) 3.4NE5532的介绍 (13) 3.5STC89C52的介绍 (14) 3.6TLC549的介绍 (16) 3.7ICL7660的介绍 (17) 3.81602液晶的介绍 (18) 4、单元电路设计 (20) 4.1直流稳压电源电路的设计 (21) 4.2电源显示电路的设计 (21) 4.3电阻测量电路的设计 (22) 4.4电容测量电路的设计 (23) 4.5电感测量电路的设计 (24) 4.6电阻、电容、电感显示电路的设计 (25) 5、程序设计 (26) 5.1中断程序流程图 (26) 5.2主程序流程图 (27) 6、仿真结果 (27) 6.1电阻测量电路仿真 (27) 6.2电容测量电路仿真 (28) 6.3电感测量电路仿真 (28) 7、调试过程 (29) 7.1电阻、电容和电感测量电路调试 (29) 7.2液晶显示电路调试 (29) 8、实验数据记录 (30)

数字电容测试仪

数字式电容测量仪的设计 一、总体方案的选择 数字式电容测量仪的设计可以有占空比可调的方波发生器产生基准方波信号,频率为10KHz,再通过555定时器构成单稳态电路。通过计数器计数显示电路显示当前电容容量。所设计的电容测量范围(1uF~999uF)。误差2%左右。 1.拟定系统方案框图 (1)方案一:纯硬件电路 图1纯硬件构成系统框图 (2)方案二:运用单片机程序编程设计电路 图2含单片机程序设计电路 2.方案的分析和比较 基于方案一较方案2只用到简单硬件,不需要编程,且大部分设计知识已经掌握,所需的有设计到出图的时间比较少。所以选择方案一,简单,易行,节省时间。 二、单元电路的设计 1.时基电路 时基电路是由占空比可调的555定时器构成的多谐振荡器,其基本工作原理如下:由于电路中二极管D1,D2的单向导电性,使电容器的充放电分开,改变电阻大小,就可调节多谐振荡器的占空比。图中Vcc通过R4、D2向电容C3充电,充电时间为 t ph 0.7R 4 C3 式(1)方 波 发 生 电 路与 门 电 路 计 数 电 路 译 码 显 示 电 路 单 稳 态 电 路

电容器 C3通过D1,R5及555中的三极管T 放电,放电时间为 t pl ≈0.7R 5C 式(2) 因而,振荡频率为 3 )54(43 .11C R R t t f pl ph +≈+= 式(3) 电路输出的占空比为 %1005 44 (%)?+= R R R q 式(4) VCC 5V A2 555_VIRTUAL GND DIS OUT RST VCC THR CON TRI R43.2kΩ R510kΩ D11BH62 D21BH62 C30.01μF C4 0.01μF 图3占空比可调的方波发生器 图4方波发生器的工作波形 本次试验需要产生8.9KHz 的频率,通过公式计算R4=3.2K Ω,R5=10K Ω,C3=0.01uf 此时f=10.8KHz,通过模拟产生的基准频率为8.9KHz,满足误差要求。 用555定时器构成的单稳态触发器如图5所示。

数字电路课程设计报告_简易数字电容测试仪(原创)

数电课程设计报告 题目简易数字式电容测试仪 简易数字电容C测量仪 前言 电子制作中需要用到各种各样的电容器,它们在电路中分别起着不同的作用。与电阻器相似,通常简称其为电容,用字母C表示。顾名思义,电容器就是“储存电荷的容器”。尽管电容器品种繁多,但它们的基本结构和原理是相同的。两片相距很近的金属中间被某物质(固体、气体或液体)所隔开,就构成了电容器。两片金属称为的极板,中间的物质叫做介质。电容器也分为容量固定的与容量可变的。但常见的是固定容量的电容,最多见的是电解电容和瓷片电容。 不同的电容器储存电荷的能力也不相同。规定把电容器外加1伏特直流电压时所储存的电荷量称为该电容器的电容量。电容的基本单位为法拉(F)。但实际上,法拉是一个很不常用的单位,因为电容器的容量往往比1法拉小得多,常用微法(μF)、纳法(nF)、皮法(pF)(皮法又称微微法)等,它们的关系是:1法拉(F)= 1000000微法(μF)1微法(μF)= 1000纳法(nF)= 1000000皮法(pF)。 电容器在电子线路中得到广泛的应用,它的容量大小对电路的性能有重要的影响,本课题就是用数字显示方式对电容进行测量。 本设计报告共分三章。第一章介绍系统设计;第二章介绍主要电路及其分析;第三章为总结部分。 摘要:由于单稳态触发器的输出脉宽t 与电容C成正比,把电容C转换成宽度为t W的矩 W 形脉冲,然后将其作为闸门信号控制计数器计标准频率脉冲的个数,并送锁存--译码--显示系统就可以得到电容量的数据。 关键词:闸门信号标准频率脉冲

目录 第一章系统设计 (2) 一、设计目的 (2) 二、设计内容要求 (2) 三、设计技术指标 (2) 四、方案比较 (2) 五、方案论证 (3) 1、总体思路 (3) 2、设计方案 (3) 第二章主要电路设计与说明 (4) 一、芯片简介 (4) 1、555定时器 (4) 2、单稳态触发器74121 (4) 3、4位二进制加法计数器47161 (5) 4、4位集成寄存器74 LSl75芯片 (6) 5、七段译码器74LS47-BCD 芯片 (7) 二、总电路图及分析 (7) 1、总图 (7) 2、参数选择及仪表调试 (9) 3、产品使用说明 (9) 4、以测待测电容Cx的电容量为例说明电路工作过程及测容原理 (9) 三、各单元电路的设计与分析 (9) 1、基准脉冲发生器 (9) 2、启动脉冲发生器 (10) 3、Cx转化为Tw宽度的矩形脉冲 (10) 4、计数器 (10) 5、寄存—译码—显示系统 (10) 第三章总结 (11) 参考文献 (11) 附录 (11) 附录1 元器件清单 (11) 附录2 用集成元件代分立元件电路 (12) 评语 (13)

数字电容测量仪-课程设计

数字电子技术课程设计报告书 课题名称 数字电容测量仪的设计 姓 名 吴亚香 学 号 1212501-35 学 院 通信与电子工程学院 专 业 电子科学与技术 指导教师 张学军 2014年 6月 10 日 ※ ※※※※※※※※ ※※ ※※ ※※ ※ ※※ ※※※※※※ 2012级电子科学与技术专业 数字电子技术课程设计

数字电容测量仪的设计 1设计目的 (1)掌握multisim12仿真软件的应用技巧。 (2)掌握电容数字测量仪的设计组装与调试方法。 (3)熟悉相应的中大规模集成电路的使用方法,并掌握其工作原理。 2设计思路 本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数—译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。。 3设计过程 3.1设计框图

图1 数字电容测量仪原理图 3.2多谐振荡器电路的设计 振荡器是数字电容测量仪的核心,振荡器的稳定度以及其所产生的基准频率的稳定度决定了数字电容测量仪的准确度,通常选用石英晶振构成振荡电路。在要求不高的情况下可以选用555构成的多谐振荡器如果图2所示。 555组成多谐振荡器的工作原理如下: 接通电源Vcc后,Vcc经电阻R 1和R 2 对电容C充电,其电压U C 由0按指数 规律上升。当U C ≥2/3V CC 时,电压比较器C 1 和C 2 的输出分别为U C1 =0、U C2 =1,基 本RS触发器被置0,Q=0、Q’=1,输出U 0跃到低点平U oL 。与此同时,放电管V 导通,电容C经电阻R2和放电管V放电,电路进入暂稳态。随着电容C放电, Uc下降到Uc≤1/3Vcc时,则电压比较器C 1和C 2 的输出为U c1 =1、U c2 =0,基本RS 触 发器被置1,Q=1,Q’=0,输出U 0由低点平U oL 跃到高电平Uo H 。同时,因Q’=0, 放电管V截止,电源Vcc又经过电阻R 1和R 2 对电容C充电。电路又返回前一个 暂稳态。因此,电容C上的电压Uc将在2/3Vcc和1/3Vcc之间来回充电和放电,从而使电路产生了振荡,输出矩形脉冲,作为基准信号频率。555组成多谐振荡器输出波形如图3。 图2 555组成多谐振荡器 图3多谐振荡电路及输出波形 3.3 单稳态触发器电路的设计 单稳态触发器所产生波形用于控制计数,由555定时器组成的单稳触发器,它既为下级的多谐触发器提供输入脉冲,又为后面计数器开始计数提供信号脉

数字电容测量仪 课程设计

数字电子技术课程设计报告书 课题名称 数字电容测量仪的设计 姓 名 吴亚香 学 号 1212501-35 学 院 通信与电子工程学院 专 业 电子科学与技术 指导教师 张学军 2014年 6月 10 日 ※※※※※※※※ ※ ※※ ※※ ※ ※ ※※ ※ ※ ※ ※ ※ ※ ※ 2012级电子科学与技术专业 数字电子技术课程设计

数字电容测量仪的设计 1设计目的 (1)掌握multisim12仿真软件的应用技巧。 (2)掌握电容数字测量仪的设计组装与调试方法。 (3)熟悉相应的中大规模集成电路的使用方法,并掌握其工作原理。 2设计思路 本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数—译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。。 3设计过程 3.1设计框图 图1 数字电容测量仪原理图 3.2多谐振荡器电路的设计 振荡器是数字电容测量仪的核心,振荡器的稳定度以及其所产生的基准频率的稳定度决定了数字电容测量仪的准确度,通常选用石英晶振构成振荡电路。在要求不高的情况下可以选用555构成的多谐振荡器如果图2所示。

555组成多谐振荡器的工作原理如下: 接通电源Vcc后,Vcc经电阻R 1和R 2 对电容C充电,其电压U C 由0按指数 规律上升。当U C ≥2/3V CC 时,电压比较器C 1 和C 2 的输出分别为U C1 =0、U C2 =1,基 本RS触发器被置0,Q=0、Q’=1,输出U 0跃到低点平U oL 。与此同时,放电管V 导通,电容C经电阻R2和放电管V放电,电路进入暂稳态。随着电容C放电, Uc下降到Uc≤1/3Vcc时,则电压比较器C 1和C 2 的输出为U c1 =1、U c2 =0,基本RS 触 发器被置1,Q=1,Q’=0,输出U 0由低点平U oL 跃到高电平Uo H 。同时,因Q’=0, 放电管V截止,电源Vcc又经过电阻R 1和R 2 对电容C充电。电路又返回前一个 暂稳态。因此,电容C上的电压Uc将在2/3Vcc和1/3Vcc之间来回充电和放电,从而使电路产生了振荡,输出矩形脉冲,作为基准信号频率。555组成多谐振荡器输出波形如图3。 VCC 图2 555组成多谐振荡器

简易数字式电阻、电容测量仪设计

1.2 总体方案设计 通过对方案的比较,利用LRC数字电桥与单片机结合实现电阻、电容测试仪更为简便可行,节约成本。所以,本文选定以单片机为核心来实现对电阻、电容测量的设计。 本系统包括硬件设计和软件设计两部分内容。 硬件设计主要分为七部分:第一部分采用AMS1117芯片制作的电源,输出稳定的3.3V电压。第二部分为ICL8038芯片产生正弦波。第三部分用RC和RL电路实现LRC数字电桥的功能。第四部分是对正弦波进行精密滤波的功能。第五部分利用MSP430F149单片机自带的AD实现模拟信号转换为数字信号的功能。第六部分为MSP430F149单片机接收转换后的数字信号并做相应的处理,根据按键状态控制测量的类型和单位。第七部分为测量结果显示部分,采用的是128*64液晶显示器。 软件由4 部分组成: (1) 控制测量程序,单片机控制测量程序不仅担负着量程的识别与转换,而且还负责数据的修正和传输;因此主控制器的工作状态直接决定着整个测量系统能否正常工作,所以控制测量程序对整个测量来说至关重要; (2) 按键处理程序,根据按键的状态做相应的功能设置; (3) 电阻电容计算程序,单片机根据A/ D 转换得到的电压值计算出电阻或 者电容值; (4) 液晶模块显示程序。本系统的程序框图如图2 所示。

图2 程序框图 3.设计方案 系统硬件总体框图如下: 3.1电源模块 输入的外部电源首先经过桥式整流、滤波电路滤波,再经过AMS1117芯片稳压成3.3V的直流电压,向MSP430F149主控制器供电。 3.2信号产生模块 标准正弦波是保证测量仪的重要条件,特别是在测量电抗元件电容时,正弦波的失真将产生难以修正的错误,直接影响测量精度,因此在该测量仪中为保证测量精度,采用了ICL8038芯片产生正弦波。ICL8038精密函数发生器是采用肖特基势垒二极管等先进工艺制作成的单片集成电路芯片,电源电压范围宽、稳定度高、易用等优点,外部只需接入很少的元件即可工作,可产生多种频率正弦波,其函数波形的频率受内部或外部电压控制。 3.3整流滤波模块

数字电容测量仪-课程设计

电气与自动化工程学院课程设计评分表 课程设计题目: 班级:学号:姓名: 指导老师: 年月日

课程设计答辩记录 学院专业班级答辩人课程设计题目 说明:主要记录答辩时所提的问题及答辩人对所提问题的回答

常熟理工学院电气与自动化工程学院 课程设计说明书 课程名称:电子技术课程设计 设计题目:电容测量仪_____________ 班级:ZB62161 姓名:吴彬 学号:ZB6216123 指导老师:施健 设计时间:2017-1-11

目录 一.设计目的 (1) 二.设计思路 (1) 三.设计框图 (1) (1)设计过程 (1) (2)多谐振荡器的设计 (2) (3)单稳态触发器电路的设计 (2) (4)计数电路的设计 (3) 四.整体电路设计 (4) 五.系统调试 (5) 六.仿真结果 (5) 七.设计心得 (6) 八.参考文献 (7)

数字电容测量仪的设计 一.设计目的 (1)了解常用数字集成电路的使用。 (2)了解电容测量仪的工作原理。 (3)掌握利用数字式集成电路设计电容测量仪的原理和Multisim调试的方法。 二.设计思路 本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数—译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。 三.设计框图 图1 数字电容测量仪原理图 四.设计过程 (1)多谐振荡器电路的设计 振荡器是数字电容测量仪的核心,振荡器的稳定性以及其所产生的基准频率的稳定性决定了数字电容测量仪的精确度。在要求不高的情况下可以选用555构成的多谐振荡器如果图2所示。 555组成多谐振荡器的工作原理如下:

简易电阻电容电感测量

简易的测量电阻电容电感 摘要:本设计是一个电阻电感电容的简易测量装置,主要由模拟测量和1602液晶显示两部分组成,其中电阻和电容电感的测量都是通过构造电路产生一定频率的波形,再通过单片机读取频率,经过程序处理转化,再通过1602液晶显示。由于系统处理数据时通过单片机对频率信号的读取,使得最后测量的结果更加精确与稳定,误差控制在题目所允许的范围内。 关键词:电阻电容电感测量仪,1602显示,555定时器,电容三点式

目录 1. 系统设计 (2) 1.1 设计要求 (2) 1.2 方案比较 (2) 1.2.1 电阻测量方案 (2) 1.2.2 电容测量方案 (4) 1.2.3电感测量方案 (5) 1.2.4显示电路方案 (6) 1.3 方案论证 (6) 1.3.1 总体思路 (6) 1.3.2 设计方案 (7) 2. 单元电路设计 (7) 2.1 电阻测量电路 (7) 2.2 电容测量电路 (8) 2.3 电感测量电路 (9) 2.4 1602显示电路 (10) 3. 软件设计 (11) 4. 系统测试 (11) 4.1 测试仪器与设备 (11) 4.2 指标测试 (12) 5 结论 (13) 参考文献 (13) 附录1、元器件明细表...............................................................= (13) 附录2:程序清单 (13)

1. 系统设计 1.1 设计要求 设计并制作一台数字显示的电阻、电容和电感参数测试仪 1. 测量范围:电阻100Ω~1MΩ;电容100pF~10000pF;电感100μH~10mH。 2. 测量精度:±5% 。 3. 带有显示部分。 1.2 方案比较 1.2.1 电阻测量方案 相位测量方案的关键问题是电阻测量方法的选择。 方案一:串联分压原理 V Rx R0 图1串联电路原理图 根据串联电路的分压原理可知,串联电路上电压与电阻成正比关系。通过测量Rx和R0上的电压。由公式Rx=Ux/(U0/R0) 方案二:利用直流电桥平衡原理的方案 图2 电桥(其中R1,R2,为可变电位器,R3为已知电阻,R4为被测电阻)根据电路平衡原理,不断调节电位器,使得电表指针指向正中间。由R1*R4=R3*R4.在通过测量电位器电阻值,可得到R4的值。 方案三:利用555构成单稳态的方案

数字电容测量仪

1 绪论 1.1课程设计的背景 很多电子产品中,电容器都是必不可少的电子元件,它在电子设备中充当整流器的平滑滤波、电源的退耦、交流信号的旁路、交直流电路的交流耦合等。固定电容的容量可直接从标称容量上读出,而可调电容的容量则不确定。传统的测量方法都采用交流电桥法和谐振法,通常采用刻度读数,此方法不够直观,因此,设计一个简易电容测试仪作为测量工具是有必要的。 1.2课程设计的内容 本次课程设计的内容是独立完成一个数字电容测试仪的设计,采用EWB电路仿真设计软件完成数字电容测试仪电路的设计及仿真调试,在微机上仿真实现数字电容测试仪的设计。 课程设计具体内容:框图中的外接电容是定时电路中的一部分。当外接电容的容量不同时,与定时电路所对应的时间也有所不同,即C=f(t),而时间与脉冲数目成正比,脉冲数目可以通过计数译码获得。 1.3课程设计的目的 掌握multisim在电子设计中的仿真,分析,调试等应用。 掌握电容数字测量仪的设计组装与调试方法。 熟悉相应的中大规模集成电路的使用方法,并掌握其工作原理 1.4课程设计指标与要求: 指标: (1) 被测电容的容量在0.01μF至100μF范围内。 (2) 设计测量量程。

(3) 用3 位数码管显示测量结果,测量误差小于20%。 课题任务要求: 1、画出总体设计框图,以说明数字电容测试仪由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。

数字电容测量仪毕业设计

摘要 当前现代化电子市场正朝着快速及便利同时大容量的方向发展,现代电子产品几乎能运用到社会的各个领域当中,有力的推动了社会现代化的发展。同时,电子产品也被要求以更快速度的升级和更快速的处理。 本设计以STC89C52单片机和555振荡器作为主要元件,来实现对电容容量的基本测量。本设计基于555振荡器构成多谐振荡器来产生输入脉冲信号,然后再通过STC89C52单片机对方波脉冲进行中断计数而测量电容的。在多谐振荡器输出端加入一个74HC08使输出波型毛刺减少,从而使单片机测量结果变精确。555振荡器所产生的信号会根据所选的电阻的阻值不同,从而调节电容的参数值,这样就可以确定被测电容的容值围,最后通过LCD1602显示器显示被测电容容值。在软件设计中,该设计使用C语言来编写程序。该仪器具有方便快捷,简单实用,价格低廉等特点。 关键词:电容测量;555振荡器;STC89C52;LCD1602

Abstract The current modern electronic market is headed in fast and convenient large capacity and the direction of development, modern electronic products to use to almost all areas of society of powerful promoted the development of modern society. At the same time, the electronic products also are required to faster speed upgrade and more fast process. This design to STC89C52 single-chip microcomputer and 555 oscillator as the main components, to realize the basic capacity of capacitance measurement. This design based on the 555 oscillator to generate more than a harmonic oscillator input pulse signal, and then through the STC89C52 microcontroller each other to interrupt pulse count and measurement of capacitance. In order to join the output oscillator a 74 HC08 to make the output waveform burr reduced, so that the single chip microcomputer variable precision measurement results. 555 oscillator generated signal will be selected according to the resistance of the resistance is different, which regulates capacitance parameter value, which can determine the capacity of the capacitance value range, the last through the LCD1602 display shows measured capacitance let value. In software design, this design using C language to write the program. The instrument has convenient and quick, simple, practical, and low prices, etc. Keywords: capacitance measurements;555 oscillator;STC89C52;LCD1602

简易电容测量仪

简易电容测量仪 电容是电子线路中最常用的元器件之一,对电容值的测量一般采用利用振荡电路将电容值转换为频率值,再通过频率计数器测量,或利用PWM(脉冲宽度调制)电路将电容值转换为模拟电压值,再通过电压表测量的方法进行。本题要求利用以上所述两种原理中的一种,设计一个简易电容测量仪。 1.实验目的 理解电容的测量原理;掌握利用555集成电路设计振荡器的方法;掌握555单稳态电路的设计方法和应用;理解PWM信号的概念和意义;掌握利用PWM信号发生电路产生模拟直流电压信号的原理和方法;掌握数字电压表或数字频率计的工作原理和设计制作方法。2.设计要求及技术指标 基本部分: (1)系统采用+9V单电源供电; (2)电容测试范围:33~470nF,测量误差≤15%。(测试时分别对33nF、47nF、100nF、 220nF、330nF、470nF等6种电容进行测试); (3)测试结果通过数字电压表(数字万用表直流电压测量档)或自制的数字频率计显示。 采用数字电压表显示时要求电压表示数数值(单位V)为电容值(单位nF)的百分 之一。例如,470nF显示为4.70V,33nF显示为0.33V或330mV;采用自制数字频 率计显示时示数直接代表电容值(单位:nF)。要求示数比较稳定,不乱跳。 (4)在电路板靠边的显著位置焊出被测电容插座和万用表测试孔,用来插被测电容和连 接万用表。电路板焊好后应在每个测试孔的相应位置做出标记。 发挥部分: (1)自制数字电压表,用以代替数字万用表显示被测电容值。要求所显示数值直接表示 被测电容的容值(单位:nF); (2)增加电容测试范围至:1nF~10μF,可手动切换量程; (3)在发挥(2)中要求基础上增加自动量程切换功能; (4)提高测量精度,测量误差≤10%; 3.设计任务 (1)设计,安装、调试所设计的电路; (2)画出完整电路图,详细说明电路原理,写出设计总结报告。 4.工作原理及设计思路参考 555时基电路是一种用途非常广泛的数字-模拟混合集成电路。555时基电路内部结构简单,使用非常灵活,可以组成产生各种波形的脉冲振荡器、定时延时电路、双稳态电路、检测电路、电源变换电路、频率变换电路等。具有工作电压范围宽、输出驱动能力强、应用范围广等特点,已被广泛应用于自动控制、测量、通信等各种领域。 555时基电路常用有单稳态、双稳态和无稳态等三种电路形式。其中单稳态和双稳态电路常用于定时,无稳态电路则多用于多谐振荡器。单稳态电路工作原理见《数字电子技术(第二版)》(侯建军主编,高等教育出版社出版)第八章第四节第三部分(P.396~398)。可知,

数字式电阻电容测量仪设计实现实验报告

电子电路综合实验 总结报告 题目:数字式电阻电容测量仪设计实现 班级: 学号: 姓名: 成绩: 日期:

题目:数字式电阻电容测量仪设计实现 一、实验目的 1、熟悉振荡电路的设计 2、熟悉单稳态电路的设计 3、熟悉计数器的级联工作原理 4、集成芯片7400、7404的工作原理 5、熟悉数码管显示原理。 二、所用仪器 函数信号发生器、示波器、直流稳压源 三、总体框图设计 四、设计步骤 1、显示电路由数码管静态显示 数码管是由发光二极管组成的。在焊接前应该确定其极性是否与设计相符及检测其完好性。数码管按极性可分为共阳型和共阴型。按显示方式又可分动态显示和静态显示。数码管的极性确定可用5V稳压电源串联300欧姆电阻接触数码管管脚的方式。数码管管脚如下图所示。

2、译码器 4511译码器管脚图及功能表如下图所示。 译码器的主要作用是把输入信号转换成下一级芯片/电路可以处理的信号。 根据4511真值表,其主要功能是把二进制信号转换成相应十进制的显示信号。当LE=0,LT非=1,BL非=1时,转换信号。 3、计数器74HC160 计数器的主要作用是计算输入脉冲的个数。74HC160是边沿触发型。74HC160管脚如下图所示。A、B、C、D是置数输入。 参考电路图:

4. 基准时钟信号为电路提供最小计时单位。此设计中,采用的是皮尔斯晶体振荡电路。 皮尔斯电路结构如图所示。二极管用于垫的静电放电。7404是个大增益的放大器。反馈电阻R3大于1M 欧。它使反相器在工作初期处于线形区。 R2作为驱动电位调整之用,可以防止晶振被过分驱动而工作在高次谐波频率上。电容三点式电路的分压电容,接地点就是分压点。分压点为参考点,输入和输出是反相的,形成一个正反馈以保证电路持续振荡。 任选一初始时刻,记录下基准周期。稳定后记录下周期,据此计算单稳态阻容参数。 5. 555管脚图及单稳态触发器 单稳态触发器的特点是电路有一个稳定状态和一个暂稳状态。在触发信号作用下,电路将由稳态翻转到暂稳态,暂稳态是一个不能长久保持的状态,由于电路中RC 延时环节的作用,经过一段时间后,电路会自动返回到稳态,并在输出端获得一个脉冲宽度为w t 的矩形波。 在单稳态触发器中,输出的脉冲宽度w t ,就是暂稳态的维持时间,其长短取决于555外接 电阻电容值。 单稳态触发器脉冲宽度公式: 33 2 0ln ln RC V V V RC t CC CC CC w =--=

数字电容测试仪课程设计报告

# 课程设计任务书 学生姓名:杨坚专业班级:电信1006 指导教师:工作单位:信息工程学院 题目:电容测试仪 / 初始条件: 具备电子电路的基础知识和设计能力;具备查阅资料的基本方法;熟悉常用的电子器件;熟悉电子设计常用软件的使用; 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计电容数字测试电路; 2、测量电容范围:100pf~1μf; 3、数码管显示电容值; 4、掌握数字电路的设计及调试方法; 5、撰写符合学校要求的课程设计说明书。 【 时间安排: 时间一周,其中2天原理设计,3天电路调试 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 ) 1 绪论 .............................................................. 错误!未定义书签。 选题背景 ....................................................... 错误!未定义书签。 设计要求 ....................................................... 错误!未定义书签。2系统概述........................................................... 错误!未定义书签。 方案的选择及可行性分析.......................................... 错误!未定义书签。 方案论证 ....................................................... 错误!未定义书签。 设计思路 ................................................... 错误!未定义书签。 设计的总体框图.............................................. 错误!未定义书签。 【 用555定时器构成的多谐振荡器.................................... 错误!未定义书签。 用555定时器构成的单稳态电路.................................... 错误!未定义书签。 74LS160构成的计数器............................................ 错误!未定义书签。 74LS273锁存器.................................................. 错误!未定义书签。 74LS247译码器.................................................. 错误!未定义书签。 数码管显示电路.................................................. 错误!未定义书签。 4 电路总体描述及功能实现............................................. 错误!未定义书签。 电路参数选择 ................................................... 错误!未定义书签。 《 产品使用说明 ................................................... 错误!未定义书签。 仿真安装与调试.................................................. 错误!未定义书签。5实物制作与调试..................................................... 错误!未定义书签。 制作 ............................................................ 错误!未定义书签。 调试 ............................................................ 错误!未定义书签。6结论............................................................... 错误!未定义书签。 总结 ........................................................... 错误!未定义书签。 收获与体会 ..................................................... 错误!未定义书签。· 参考文献 ............................................................ 错误!未定义书签。附件1 ............................................................... 错误!未定义书签。附件2 ............................................................... 错误!未定义书签。附件3 ............................................................... 错误!未定义书签。附件4 ............................................................... 错误!未定义书签。本科生课程设计成绩评定表............................................. 错误!未定义书签。

相关文档
最新文档