数字电容测试仪课程设计

数字电容测试仪课程设计
数字电容测试仪课程设计

数字电子技术课程

设计报告

项目名称:数字电容测试仪

班级:1611电子

姓名:李瑞(2016111123)

程家豪(2016111104)

胡焱(2016111115)

胡永凯(2016111116)

指导老师:王正强

1.1引言

电容器在电子线路中得到广泛的应用,它的容量大小对电路的性能有重要的影响,此次我们的课程设计就是用数字显示方式对电容进行测量。它由测试电路和显示电路两部分组成。通过使用测试电路中555定时器做多谐振荡器,电容配合电阻充放电产生一系列的方波脉冲,再通过计数器记数算出电容的值,从而实现数码管显示被测电容的容值。该电容测量仪相对比较直观,且误差较小,将在电容测量方面显示出它读数方便,精确的优越性。

1.2设计任务及要求

1.2.1基本要求

(1)被测电容的容量在0.01μF至100μF范围内。

(2)设计测量量程。

(3)用3位数码管显示测量结果,测量误差小于20%。

1.2.2发挥部分(选做)

(1)另增一个测量量程,使被测电容的容量扩大到100PF至100μF范围内。

(2)测量误差小于10%。

1.2.3设计任务及目标

(1)根据原理图分析各单元电路的功能;

(2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试,直到电路能达到规定的设计要求;

(4)写出完整、详细的课程设计报告。

2.1设计原理

本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP 脉冲也就是标准频率。同时把待测电容C 转换成宽度为tw 的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw 与电容C 成正比。用这个宽度的矩形脉冲作为闸门信号控制计数器计数,合理处理计数系统电路,可以使计数器的计数值即为被测电容值。或者把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数—锁存—译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。

2.2单元电路设计分析

2.2.1用555定时器构成的多谐振荡器

电路图及其输出波形如图2所示,其工作原理如下:

由图2所示,可以求得电容C1上的充电时间T 1和放电时间T 2:

T 1=(R 1+R 2)C ㏑2≈0.7(R 1+R 2)C

T 2=R 2C ㏑2≈0.7R 2C

所以输出波形的周期为T=T 1+T 2=(R 1+2R 2)C ㏑2≈0.7(R 1+2R 2)C

R 1=4.7k ,R 2=12k ,T≈2ms

振荡频率

f=1/T≈1.44/[(R 1+2R 2)C]≈500Hz 占空比q=(R 1+R 2)/(R 1+2R 2)≈58.2%定时电路多谐振荡器计数器

译码器数码显示器

微分电路

自动调零图1原理框图

被测电容

图2多谐振荡电路及输出波形

2.2.2用555定时器构成的单稳态电路

用555定时器构成的单稳态触发器及其工作波形如图3所示,其工作原理如下:

接通电源瞬间,V c=0,输出V o=1,放电三极管T截止。V cc通过R给C充电。当V c上升到2V cc/3时,比较器C1输出变为低电平,此时基本RS触发器置0,输出V o=0.同时放电三极管T导通,电容C放电,电路处于稳态,稳态时V i=1.

当输入负脉冲时,触发器发生翻转,使V o=1,电路进入暂稳态。由于V o=1,三极管T截止,电源V cc可通过R给C充电。当电容C充电至Vc=2V cc/3时电路又发生翻转,输出V o=0,T导通,电容C放电,电路自动恢复至稳态。可见,暂稳态时间由RC电路参数决定。

若忽略T的饱和压降,则电容C上电压从0V上升到2V cc/3的时间,即输出脉冲宽度tw为:tw=RC㏑3≈1.1RC

图3单稳态电路及输出波形

2.2.374LS160构成的计数器

74LS160是集成同步十进制计数器,该计数器具有同步预置、异步清零、计数和保持四种功能有进位信号输出端,可串接计数使用。

由三个71604LS160构成的计数器电路如图4所示

图4计数电路

2.2.474LS273锁存器

由74LS273构成的锁存电路对计数值进行锁存。74LS273工作原理是:MR 为高电平,当CLK输入为上升沿时对输入信号进行锁存,锁存后输出不再随输入信号变动,直至下一个上升沿到来。这里的CLK输入由单稳态输出接反相器得到。当单稳态输出为低电平时,表示定时结束,同时锁存电路对计数值进行锁存。以正确显示电容值。电路如图5所示:

图5锁存电路

2.2.574LS247译码器

74LS247芯片的功能即将四位二进制表示的数进行译码,以驱动共阳的七段数码管显示其值。电路图如图6所示:

图6译码电路

2.2.6数码管显示电路

由任务要求知,用三位数码管显示被测电容值的大小。因为译码电路用的是74LS247,并且0.01uf-1uf档位的设计采用1-100乘以0.01,所以这里选用八段共阳数码管,当量程为0.01uf-1uf时,第一位数码管的小数点亮。

图7数码管显示电路

2.2.7单位显示电路

单位的显示与量程的选择一致,即当量程为0.01uf-1uf或1uf-100uf时,单位显示为UF,当量程为100pf-0.01uf时,单位显示为PF.,单位后的小数点亮表示被测电容值为显示的数值乘以100。

图8单位显示电路

3.1电路参数选择

以电容值47uF为例,因为测试电容的原理是:闸门信号Tw=1.1RC x,而振荡器输出周期为T=0.7(R1+2R2)C的基准脉冲,我们设置电路使0.7(R1+2R2)C*N=1.1RC x,等式两边同时约去N和C x,那么在闸门信号闸门内有N个基准脉冲,电容值就为N(C x)。

3.2产品使用说明

将被测电容安装在单稳态电路中电容C3位置处,选择一个量程的开关合上,并点击运行开关。若显示值在1-100之间,则说明量程选择正确;若显示值为000,则说明量程选择大,应调小量程;若显示值大于100,则说明量程选择太小,应调大量程。后两种情况下,量程选择错指示灯会亮。如果单位显示为PF.,说明被测电容值测量结果应为显示值乘以100。

3.3安装与调试

(1)按照总电路图接好电路,检查无误后即可通电调试。本设计在proteus软件里调试,并用Altium designer设计制作PCB,用实物测试调校。

(2)仿真过程中,当点击运行按钮时,555多谐振荡器开始工作,输出周期为T=0.7(R1+2R2)C的方波信号。将多谐振荡器输出的脉冲送往74LS160开始计数,同时将输出信号结至单稳态电路输入端,触发单稳态电路进行定时功能。(3)在多谐振荡器输出输出周期性脉冲的时候,555单稳态触发器的输入端会不断地输入方波脉冲,由555单稳态的性质我们可以知道,当负脉冲到来时,单稳态触发器会输出为宽度为是Tw=1.1RC的正脉冲;

(4)从理论上讲,我们可以通过74LS160输出所测电容的大小,但是由于555单稳态触发器输出的负脉冲时间非常短,我们几乎从显示器上无法确定单稳态负脉冲的到来,因此我们用了一个74LS273做成的锁存器。当555单稳态输出负脉冲时,我们将此信号经过一个非门,去控制74LS273的CP脉冲,在555输出

正脉冲时锁存器不输出数据,只有555单稳态触发器输出负脉冲时,控制74LS273的CP脉冲输出当前的数据;

(5)根据课程设计的要求,我们设计了三个量程,分别由接在555单稳态触发器6、8脚的电阻器来完成的。通过控制电阻的大小,可以控制输出正脉冲的宽度,即定时时间长短,间接的控制输出电容的量程,同时在输出不同的量程的时候,我们通过电路控制不同的单位显示加以区别;

(6)在测试1-100uf电容的过程中,可能是由于电路的影响,显示的电容值在某一个范围里不停的变动,为此,我们在设计该量程时在多谢振荡器的C2电容两端并联了电容,以加大输出波形的周期,同时为了不使测量结果发生变化,将该量程下的单稳态电路6、8间的电阻扩大至同样的倍数。这样设计后,显示很稳定。

调试正常后,选取了6个待测电容进行测量,数据如下表4-1所示。其中部分仿真结果如下图所示:

图9100pf被测电容仿真结果

图100.47uf被测电容仿真结果

图1168uf被测电容仿真结果

表3-1调试结果记录

被测电容标称值测量值测量误差C1100pF(101)1*100pF0

C22000pF(332)21*100pF5%

C30.01uF(103)0.01uF0

C40.47uF(333)0.47uF0

C51uF(684)1uF0

C668uF68uF0

(7)在实物测试过程中,发现1-100uf量程测试不准,显示数值严重跳动,

1uf-0.01uf与100pf-0.01uf量程测试精度达到设计要求。判断1-100uf量程电路由于存在元件精度不足,跳线干扰等因素,致使改量程未能实现设计测量任务。其中部分测试结果如下图所示:

图1210nf被测电容实测结果图13510pf被测电容实测结果

图1410nf被测电容实测结果图15100nf被测电容实测结果

图161uf被测电容实测结果图17470nf被测电容实测结果

图1810uf被测电容实测结果图1822uf被测电容实测结果

图1847uf被测电容实测结果

4.1总结

该数字电容测试仪完成了设计任务的基本要求和发挥部分要求,同时增加了单位显示部分。仪表具有性能可靠、精度高、操作简单,显示直观等特点。不足之处在于当被测电容值100pf-0.01uf之间时,测量误差略大。这是因为设计要求用三个数码管显示,而该量程为0.0001uf-0.01uf或者说是100pf-10000pf,设计该量程时采用显示值乘以100的方法来表示被测电容值,这样一来虽然三个量程的显示值都在1-100之间,但100pf-0.01uf档位的误差就较大了。其次,1uf-100uf 量程在被测电容大于22UF时,测量数值存在问题。原理图及PCB设计过程中有许多设计失误,所以该数字电容测试仪仍有很大改进空间。

【参考文献】

[1]阎石.数字电子技术基础(第四版/第五版)[M].北京:高等教育出版社,2006:174-184,278-298,489-497.

[2]童诗白,华成英.模拟电子技术基础[M].北京:高等教育出版社,2006:11—29.

[3]金唯香,谢玉梅.电子测试技术[M].长沙:湖南大学出版社,2008.

附录A

总仿真电路图

附录B 总电路图

附录C PCB图

数字式电容测试仪的设计

数字式电容测试仪的设计

目录 摘要 ................................................................................... 综述 (1) 1 方案设计与分析 (2) 1.1恒压充电法测量 (2) 1.2恒流充电法测量 (2) 1.3脉冲计数法测量 (2) 2 电路设计框图及功能描述 (3) 2.1 电路设计框图 (3) 2.2 电路设计功能描述 (3) 3 电路原理设计及参数计算 (4) 3.1电路原理设计 (4) 3.2单元电路设计与参数计算 (4) 3.2.1控制器电路 (4) 3.2.2时钟脉冲发生器 (5) 3.2.3计数和显示电路 (6) 4 单元电路仿真波形及调试 (8) 4.1多谐振荡器 (8) 4.2单稳态触发器 (9) 4.2.1稳定状态 (9) 4.2.2暂稳态状态 (9)

4.2.3 自动回复状态 (9) 4.3电路原理图与仿真结果显示 (10) 4.3.1电路原理图 (10) 4.3.2仿真结果显示 (11) 5课程设计体会 (14) 参考文献 (15)

摘要 本设计是基于555定时器,连接构成多谐振荡器以及单稳态触发器而测量电容的。单稳态触发器中所涉及的电容,即是被测量的电容 C。其脉冲输入信号是555定时器 x 构成的多谐振荡器所产生。信号的频率可以根据所选的电阻,电容的参数而调节。这样便可以定量的确定被测电容的容值范围。因为单稳态触发器的输出脉宽是根据电容 C x 值的不同而不同的,所以脉宽即是对应的电容值,其精确度可以达到0.1%。单稳态触发器输出的信号滤波,使最终输出电压 v与被测量的电容值呈线性关系。最后是输出电 o 压的数字化,将 v输入到74160计数译码器中翻译成BCD码,输入到LED数码管中显示 o 出来。 关键词:电容;555定时器;线性;计数译码器;LED数码管

简易数字电容测量仪

电子技术课程设计报告——简易数字电容测量仪的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:简易数字电容测量仪班级学号: 学生姓名: 目录

一、预备知识.................. 错误!未定义书签。 二、课程设计题目:简易数字电容测量仪的设计错误!未定义书签。 三、课程设计目的及基本要求.... 错误!未定义书签。 四、设计内容提要及说明........ 错误!未定义书签。 4.1设计内容...................................... 错误!未定义书签。 4.2设计说明...................................... 错误!未定义书签。 五、原理图及原理说明 ...................... 错误!未定义书签。 5.1功能模块电路原理图................... 错误!未定义书签。 5.2模块工作原理说明 ...................... 错误!未定义书签。 六、调试...........................................................................错误!未定义书签。 七、设计中涉及的实验仪器和工具.. 错误!未定义书签。 八、课程设计心得体会 ...................... 错误!未定义书签。 九、参考文献 ...................................... 错误!未定义书签。

一、预备知识 关于数字式简易数字电容测试仪的设计,我们提出了三种设计方法和思路。在具体操作中,经过对资料的收集、分析,研究与对比,最终选择了简单易懂,而且精度较高的方法,即门控法。 本方法的基本理论是单稳态触发器电路的输出脉宽wt与电容C成正比,再通过一系列的控制,计数,锁存,显示电路实现了对电容的一般测试与数字显示。在本次数电课程设计的同时,对于中大规模集成电路从认识到分析、再到整体框图设计、单元模块设计、最终到电路的模拟和实际电路的成形有了一定的认识,同时使我们在电子设计方面有了一定的实际动手能力,也为这次数电课程设计打下了坚实的基础。 数字电子课程设计是电子计数综合应用的实践环节,同时也是增强学生实践与动手能力,这也是教学环节的实践部分之一。本文设计的简易数字式电容测试仪,既融合了电子技术的基础知识,又与生产实际结合紧密,能够满足实验教学需要和科研开发应用的需要,同时,电路简洁,条理清晰,便于沟通和交流学习,具有较强的通用性和实用性。 在本次课程设计过程中得到了各方面的支持和帮助,在此特别向数子电子技术老师表示由衷的感谢。由于设计时间和水平的限制,如有不足之处,敬请指正

简易数字式电阻、电容和电感测量仪设计

简易数字式电阻、电容和电感测量仪设计报告 摘要:本系统利用TI公司的16位超低功耗单片机MSP430F149和ICL8038精密函数发生器实现对电阻、电容和电感参数的测量。本系统以自制电源作为LRC数字电桥和各个主要控制芯片的输入电源,并采用ICL8038芯片产生高精度的正弦波信号流经待测的电阻、电容或者电感和标准电阻的串联电路,通过测量电阻、电容或者电感和标准电阻各自的电压,利用电压比例计算的方法推算出电阻值、电容值或者电感值。利用MSP430F149单片机控制测量和计算结果,运用自校准电路提高测量精度,同时用差压法,消除了电源波动对结果的影响。测量结果采用12864液晶模块实时显示。实验测试结果表明,本系统性能稳定,测量精度高。 关键词:LRC 数字电桥、电压比例法、液晶模块、MSP430F149、电阻电容电感测量 一、设计内容及功能 1.1设计内容 设计并制作一台简易数字式电阻、电容和电感参数测量仪,由测量对象、测量仪、LCD 显示和自制电源组成,系统模块划分如下图所示: 测量对象 LCD显示 电阻/电容/电感 简易的数字电阻、电容和电感测量仪 自制电源 1.2 具体要求 1. 测量范围 (1)基本测量范围:电阻100Ω~1MΩ;电容100pF~10000pF;电感100μH~10mH。 (2)发挥测量范围:电阻10Ω~10MΩ;电容50pF~10μF;电感50μH~1H。 2. 测量精度 (1)基本测量精度:电阻±5% ;电容±10% ;电感±5% 。 (2)发挥测量精度:电阻±2% ;电容±8% ;电感±8% 。 3. 利用128*64液晶显示器,显示测量数值、类型和单位。 4. 自制电源 5. 使用按键来设置测量的种类和单位 1.3系统功能 1. 基本完成以上具体要求 2. 使用三个按键分别控制R、C、L的测试 3. 采用液晶显示器显示测量结果 二、系统方案设计与选择 电阻、电容、电感测试仪的设计目前有多种方案可以实现,例如、使用可编程逻辑控制器(PLC)、振荡电路与单片机结合或CPLD与EDA相结合等等来实现。在设计前本文对各种方案进行了比较:

HC500L全自动电容电感测试仪

感谢您选用本公司的产品! 您现在参考的是全自动电容电感测试仪说明书。在使用本产品之前,请您详细阅读本说明书,并特别注意以下注意事项: 1、测量时必须将钳形表置于OFF档。 2、测量时必须将测试电压输出开关置于“通”位置。 3、为获得正确的容量值,必须在测量前设置与电容器铭牌相同的电压值。 4、如果怀疑仪器精度有问题,请用仪器随机配置的参考电容器进行检查。 5、在测量小电容小电感时,钳形表的位置对测量值有影响,请将钳形表置 于最佳位置,并保持钳口完整闭合。

目录 一、概述 0 二、技术参数 0 三、工作原理 (1) 四、仪器面板 (2) 五、接线方法 (3) 1、并联电容器测量 (3) 2、电抗器电感测量 (4) 3、电感测量注意事项 (4) 六、操作步骤 (5) 1、参数设置 (5) 2、测量开始 (6) 3、保存数据 (8) 4、打印操作 (9) 5、查询数据 (10) 七、配套清单 (11) 八、贮存及运输 (11)

HC-500L 全自动电容电感测试仪 一、概述 全自动电容电感测试仪针对变电站现场测量并联电容器组中的单个电容器电容值时存在的问题而专门研制的,它着重解决了以下问题: (1)现场测量单个电容器需拆除连接线,不仅工作量大而且易损坏电容器。 (2)电容表输出电压低而导致故障检出率低。 (3)测量电抗器的电感。 该仪器具有测量工作量小、快捷简便、性能稳定、测量准确、故障检出率高等特点。此外,它的电流测量单元还可兼作CVT、避雷器等电器设备的测量之用,具有一机多能的功效。 本型号测试仪特点 (1)量程自动转换; (2)储存7168个测试数据; (3)大屏幕液晶(320×240 LCD)显示, 汉字菜单操作提示; (4)实现波形和测量处理数据同屏显示,使测试过程更直观; (5)具有设置、校正和调试功能。 二、技术参数 1、电容量量程:0.2μF~2,000μF; 容量范围:5~20,000 kvar; 测量精度:0.2μF~2μF ±1%读数±0.02μF; 2μF~2,000μF ±1%读数±2个字; 2、电感量程:1mH~9.99H;测量精度:±1.5%读数±2个字 3、输出测量电压:AC 26V/500VA;50Hz; 4、显示方式:大屏幕液晶示屏全汉字输出,TPμp-40面板式热敏打印机

简易电阻、电容和电感测试仪设计说明

课程设计任务书 学生:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电阻、电容和电感测试仪设计 初始条件: LM317 LM337 NE555 NE5532 STC89C52 TLC549 ICL7660 1602液晶 要求完成的主要任务: 1、测量围:电阻 100Ω-1MΩ; 电容 100pF-10000pF; 电感 100μH-10mH。 2、测量精度:5%。 3、制作1602液晶显示器,显示测量数值,并用发光二级管分别指示所测元件的类别。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:__________ 年月日

目录 摘要 (3) ABSTRACT (4) 1、绪论 (5) 2、电路方案的比较与论证 (5) 2.1电阻测量方案 (5) 2.2电容测量方案 (7) 2.3电感测量方案 (8) 3、核心元器件介绍 (10) 3.1LM317的介绍 (10) 3.2LM337的介绍 (11) 3.3NE555的介绍 (11) 3.4NE5532的介绍 (13) 3.5STC89C52的介绍 (14) 3.6TLC549的介绍 (16) 3.7ICL7660的介绍 (17) 3.81602液晶的介绍 (18) 4、单元电路设计 (20) 4.1直流稳压电源电路的设计 (21) 4.2电源显示电路的设计 (21) 4.3电阻测量电路的设计 (22) 4.4电容测量电路的设计 (23) 4.5电感测量电路的设计 (24) 4.6电阻、电容、电感显示电路的设计 (25) 5、程序设计 (26) 5.1中断程序流程图 (26) 5.2主程序流程图 (27) 6、仿真结果 (27) 6.1电阻测量电路仿真 (27) 6.2电容测量电路仿真 (28) 6.3电感测量电路仿真 (28) 7、调试过程 (29) 7.1电阻、电容和电感测量电路调试 (29) 7.2液晶显示电路调试 (29) 8、实验数据记录 (30)

数字电容测试仪

数字式电容测量仪的设计 一、总体方案的选择 数字式电容测量仪的设计可以有占空比可调的方波发生器产生基准方波信号,频率为10KHz,再通过555定时器构成单稳态电路。通过计数器计数显示电路显示当前电容容量。所设计的电容测量范围(1uF~999uF)。误差2%左右。 1.拟定系统方案框图 (1)方案一:纯硬件电路 图1纯硬件构成系统框图 (2)方案二:运用单片机程序编程设计电路 图2含单片机程序设计电路 2.方案的分析和比较 基于方案一较方案2只用到简单硬件,不需要编程,且大部分设计知识已经掌握,所需的有设计到出图的时间比较少。所以选择方案一,简单,易行,节省时间。 二、单元电路的设计 1.时基电路 时基电路是由占空比可调的555定时器构成的多谐振荡器,其基本工作原理如下:由于电路中二极管D1,D2的单向导电性,使电容器的充放电分开,改变电阻大小,就可调节多谐振荡器的占空比。图中Vcc通过R4、D2向电容C3充电,充电时间为 t ph 0.7R 4 C3 式(1)方 波 发 生 电 路与 门 电 路 计 数 电 路 译 码 显 示 电 路 单 稳 态 电 路

电容器 C3通过D1,R5及555中的三极管T 放电,放电时间为 t pl ≈0.7R 5C 式(2) 因而,振荡频率为 3 )54(43 .11C R R t t f pl ph +≈+= 式(3) 电路输出的占空比为 %1005 44 (%)?+= R R R q 式(4) VCC 5V A2 555_VIRTUAL GND DIS OUT RST VCC THR CON TRI R43.2kΩ R510kΩ D11BH62 D21BH62 C30.01μF C4 0.01μF 图3占空比可调的方波发生器 图4方波发生器的工作波形 本次试验需要产生8.9KHz 的频率,通过公式计算R4=3.2K Ω,R5=10K Ω,C3=0.01uf 此时f=10.8KHz,通过模拟产生的基准频率为8.9KHz,满足误差要求。 用555定时器构成的单稳态触发器如图5所示。

单片机电容测量仪设计方案

摘要 目前,随着电子工业的发展,电子元器件急剧增加,电子元器件的适用范围也逐渐广泛起来,在应用中我们常常要测定电容的大小。在电子产品的生产和维修中,电容测量这一环节至关重要,因此,设计可靠,安全,便捷的电容测试仪具有极大的现实必要性。本文提出了以MCS-51单片机为控制核心,结合多谐振荡器来实现电容测量的方法。并介绍了测量原理并给出了相应的电路及软件设计。 关键词:电容测试仪;单片机;测量

目录 1概述1 1.1 设计目的和意义 (1) 1.2 设计任务与要求 (1) 2 硬件电路设计及其描述1 2.1 设计方案 (1) 2.2 原理框图 (2) 2.3 基于AT89C51电容测量系统硬件设计详细分析 (2) 2.3.1 AT89C51单片机工作电路 (2) 2.3.2 基于AT89C51电容测量系统复位电路 (3) 2.3.3 基于AT89C51电容测量系统时钟电路 (4) 2.3.4 基于AT89C51电容测量系统按键电路 (4) 2.3.5 基于AT89C51电容测量系统555芯片电路 (5) 2.3.6 基于AT89C51电容测量系统显示电路 (6) 2.4 各部分电路连接成整个电路图 (9) 2.5 系统所用元器件 (10) 2.6 PCB制图 (11) 3 软件流程及程序设计 (11) 3.1 系统模块层次结构图11 3.2 程序设计算法设计 (12) 3.3 软件设计流程 (13) 3.4 源程序代码 (13) 4 系统调试及仿真 (17) 5 总结 (18) 5.1 本系统存在的问题及改进措施 (18) 5.2 心得体会 (18) 参考文献 (19)

数字电路课程设计报告_简易数字电容测试仪(原创)

数电课程设计报告 题目简易数字式电容测试仪 简易数字电容C测量仪 前言 电子制作中需要用到各种各样的电容器,它们在电路中分别起着不同的作用。与电阻器相似,通常简称其为电容,用字母C表示。顾名思义,电容器就是“储存电荷的容器”。尽管电容器品种繁多,但它们的基本结构和原理是相同的。两片相距很近的金属中间被某物质(固体、气体或液体)所隔开,就构成了电容器。两片金属称为的极板,中间的物质叫做介质。电容器也分为容量固定的与容量可变的。但常见的是固定容量的电容,最多见的是电解电容和瓷片电容。 不同的电容器储存电荷的能力也不相同。规定把电容器外加1伏特直流电压时所储存的电荷量称为该电容器的电容量。电容的基本单位为法拉(F)。但实际上,法拉是一个很不常用的单位,因为电容器的容量往往比1法拉小得多,常用微法(μF)、纳法(nF)、皮法(pF)(皮法又称微微法)等,它们的关系是:1法拉(F)= 1000000微法(μF)1微法(μF)= 1000纳法(nF)= 1000000皮法(pF)。 电容器在电子线路中得到广泛的应用,它的容量大小对电路的性能有重要的影响,本课题就是用数字显示方式对电容进行测量。 本设计报告共分三章。第一章介绍系统设计;第二章介绍主要电路及其分析;第三章为总结部分。 摘要:由于单稳态触发器的输出脉宽t 与电容C成正比,把电容C转换成宽度为t W的矩 W 形脉冲,然后将其作为闸门信号控制计数器计标准频率脉冲的个数,并送锁存--译码--显示系统就可以得到电容量的数据。 关键词:闸门信号标准频率脉冲

目录 第一章系统设计 (2) 一、设计目的 (2) 二、设计内容要求 (2) 三、设计技术指标 (2) 四、方案比较 (2) 五、方案论证 (3) 1、总体思路 (3) 2、设计方案 (3) 第二章主要电路设计与说明 (4) 一、芯片简介 (4) 1、555定时器 (4) 2、单稳态触发器74121 (4) 3、4位二进制加法计数器47161 (5) 4、4位集成寄存器74 LSl75芯片 (6) 5、七段译码器74LS47-BCD 芯片 (7) 二、总电路图及分析 (7) 1、总图 (7) 2、参数选择及仪表调试 (9) 3、产品使用说明 (9) 4、以测待测电容Cx的电容量为例说明电路工作过程及测容原理 (9) 三、各单元电路的设计与分析 (9) 1、基准脉冲发生器 (9) 2、启动脉冲发生器 (10) 3、Cx转化为Tw宽度的矩形脉冲 (10) 4、计数器 (10) 5、寄存—译码—显示系统 (10) 第三章总结 (11) 参考文献 (11) 附录 (11) 附录1 元器件清单 (11) 附录2 用集成元件代分立元件电路 (12) 评语 (13)

数字电容测量仪 课程设计

数字电子技术课程设计报告书 课题名称 数字电容测量仪的设计 姓 名 吴亚香 学 号 1212501-35 学 院 通信与电子工程学院 专 业 电子科学与技术 指导教师 张学军 2014年 6月 10 日 ※※※※※※※※ ※ ※※ ※※ ※ ※ ※※ ※ ※ ※ ※ ※ ※ ※ 2012级电子科学与技术专业 数字电子技术课程设计

数字电容测量仪的设计 1设计目的 (1)掌握multisim12仿真软件的应用技巧。 (2)掌握电容数字测量仪的设计组装与调试方法。 (3)熟悉相应的中大规模集成电路的使用方法,并掌握其工作原理。 2设计思路 本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数—译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。。 3设计过程 3.1设计框图 图1 数字电容测量仪原理图 3.2多谐振荡器电路的设计 振荡器是数字电容测量仪的核心,振荡器的稳定度以及其所产生的基准频率的稳定度决定了数字电容测量仪的准确度,通常选用石英晶振构成振荡电路。在要求不高的情况下可以选用555构成的多谐振荡器如果图2所示。

555组成多谐振荡器的工作原理如下: 接通电源Vcc后,Vcc经电阻R 1和R 2 对电容C充电,其电压U C 由0按指数 规律上升。当U C ≥2/3V CC 时,电压比较器C 1 和C 2 的输出分别为U C1 =0、U C2 =1,基 本RS触发器被置0,Q=0、Q’=1,输出U 0跃到低点平U oL 。与此同时,放电管V 导通,电容C经电阻R2和放电管V放电,电路进入暂稳态。随着电容C放电, Uc下降到Uc≤1/3Vcc时,则电压比较器C 1和C 2 的输出为U c1 =1、U c2 =0,基本RS 触 发器被置1,Q=1,Q’=0,输出U 0由低点平U oL 跃到高电平Uo H 。同时,因Q’=0, 放电管V截止,电源Vcc又经过电阻R 1和R 2 对电容C充电。电路又返回前一个 暂稳态。因此,电容C上的电压Uc将在2/3Vcc和1/3Vcc之间来回充电和放电,从而使电路产生了振荡,输出矩形脉冲,作为基准信号频率。555组成多谐振荡器输出波形如图3。 VCC 图2 555组成多谐振荡器

基于51单片机的数字电容测量仪

电子系统设计创新与实习报告 设计课题基于单片机的电容测量仪设计 学院信息科学与工程 学生姓名 学号 专业班级 队友 指导教师 设计时间 2014.6.4-2014.7.3

本设计详细介绍了一种基于单片机的数字式电容测量仪设计方案及实现方法。设计的主要方法是采用555芯片构成单稳态触发器,将电容容量转换为脉冲宽度。通过单片机的计时器测量脉宽, 根据已知的R值,通过单片机的运算功能,计算出电容容量,最后,再通过单片机的普通I/O口控制液晶屏显示出电容容量的计算结果。系统的测量范围为10pF~ 500uF, 具有多个量程,可根据用户需要由用户选择,与用户的交互是通过键盘实现,不同量程的实现是通过单片机的I/O口控制继电器的吸合与断开来选择不同的R值,从而实现不同的量程。同时,本设计注重设计方法及流程,首先根据原理设计电路,再通过protues仿真,利用keil 编程,进而借助altium designer 制作PCB,最后到焊接元器件,调试直至成功。 1 系统方案设计

1.1 设计说明及要求 1.1.1 设计说明 框图中的外接电容是定时电路中的一部分。当外接电容的容量不同时,与定时电路所对应的时间也有所不同,即C=f(t),而时间与脉冲数目成正比,脉冲数目可以通过计数译码获得。 1.1.2 设计要求 (1)基本要求 ①自制稳压电源。 ②被测电容的容量在10pF至10000μF范围内 ③设计四个的测量量程。 ④显示测量结果,测量误差小于2.5%。 数字显示:显示分辨率:每档满量程的0.1%; 电容测量:电压可选择5V,25V,50V; 为实现该设计,达到相应的设计要求,本次设计中考虑了三种设计方案,三种设计方案中主要区别在于硬件电路和软件设计的不同,对于本设计,三种方案均能够实现,最后根据设计要求、可行性和设计成本的考虑选择了基于STC89C52单片机和555芯片构成的单稳态触发电路测量电容的方案。 现在一一介绍论证如下。

电容测试仪的设计

目录 1、设计指标 (3) 2、设计原理 (3) 2.1设计原理框图 (3) 2.2设计方案 (3) 2.3模块介绍 (4) 2.3.1 控制电路 (4) 2.3.2 时钟脉冲发生器 (4) 2.3.3 计数器和显示器 (6) 3、单元电路的设计 (6) 3.1多谐振荡器 (6) 3.2单稳态触发器 (8) 3.3.1整流电路采用直流稳压电源设计思路 (9) 3.3.2直流稳压电源的原理框图分析 (9) 3.3.3直流稳压电源特点 (10) 4、设计制作过程及整体电路图 (10) 4.1设计制作过程 (10) 4.2整体电路图 (11) 5、芯片介绍 (11) 5.1555芯片功能介绍 (11) 5.274LS192芯片介绍 (13) 总结 (14) 致谢 (15) 参考文献 (16)

1、设计指标 1.1 设计目的 (1) 掌握数字电容测试仪的构成、原理和设计方法。 (2) 掌握集成电路的使用方法。 1.2 基本要求 (1)电容测量范围为1000pF~10uF,输出应能直接显示其值,误差≤5%,电源电压为+5V。 (2)量程可切换,显示值能够标定。 (3)要求最终正确无误地完成全部电路设计,并具有一定先进性,对电路设计也应提出建议性意见并写出合格的课程设计说明书,圆满完成各项任务。 2、设计原理 2.1设计原理框图 图1.电容测试仪原理框图 2.2 设计方案 利用单稳态触发器或电容器充放电规律等,可以把被测电容的大小转换成脉冲宽窄,即控制脉冲宽度Tx 与Cx成正比。只要把此脉冲与频率固定不变的方波即时钟脉冲相与,便可得到计数脉冲,把计数脉冲送给计数器计数,然后再送给显示器显示。如果时钟脉冲的频率等参数合适,数字显示器显示的数字N便是电容Cx的大小。之所以选择该方案是考虑到这个方案不仅设计比较容易实现,而且更重要的是该方案设计出来的数字测试仪测量的结果比较精确。

数字电容测量仪-课程设计

电气与自动化工程学院课程设计评分表 课程设计题目: 班级:学号:姓名: 指导老师: 年月日

课程设计答辩记录 学院专业班级答辩人课程设计题目 说明:主要记录答辩时所提的问题及答辩人对所提问题的回答

常熟理工学院电气与自动化工程学院 课程设计说明书 课程名称:电子技术课程设计 设计题目:电容测量仪_____________ 班级:ZB62161 姓名:吴彬 学号:ZB6216123 指导老师:施健 设计时间:2017-1-11

目录 一.设计目的 (1) 二.设计思路 (1) 三.设计框图 (1) (1)设计过程 (1) (2)多谐振荡器的设计 (2) (3)单稳态触发器电路的设计 (2) (4)计数电路的设计 (3) 四.整体电路设计 (4) 五.系统调试 (5) 六.仿真结果 (5) 七.设计心得 (6) 八.参考文献 (7)

数字电容测量仪的设计 一.设计目的 (1)了解常用数字集成电路的使用。 (2)了解电容测量仪的工作原理。 (3)掌握利用数字式集成电路设计电容测量仪的原理和Multisim调试的方法。 二.设计思路 本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数—译码显示系统就可以得到电容量的数据。外部旋钮控制量程的选择。用计数器控制电路控制总量程。 三.设计框图 图1 数字电容测量仪原理图 四.设计过程 (1)多谐振荡器电路的设计 振荡器是数字电容测量仪的核心,振荡器的稳定性以及其所产生的基准频率的稳定性决定了数字电容测量仪的精确度。在要求不高的情况下可以选用555构成的多谐振荡器如果图2所示。 555组成多谐振荡器的工作原理如下:

简易电阻电容电感测量

简易的测量电阻电容电感 摘要:本设计是一个电阻电感电容的简易测量装置,主要由模拟测量和1602液晶显示两部分组成,其中电阻和电容电感的测量都是通过构造电路产生一定频率的波形,再通过单片机读取频率,经过程序处理转化,再通过1602液晶显示。由于系统处理数据时通过单片机对频率信号的读取,使得最后测量的结果更加精确与稳定,误差控制在题目所允许的范围内。 关键词:电阻电容电感测量仪,1602显示,555定时器,电容三点式

目录 1. 系统设计 (2) 1.1 设计要求 (2) 1.2 方案比较 (2) 1.2.1 电阻测量方案 (2) 1.2.2 电容测量方案 (4) 1.2.3电感测量方案 (5) 1.2.4显示电路方案 (6) 1.3 方案论证 (6) 1.3.1 总体思路 (6) 1.3.2 设计方案 (7) 2. 单元电路设计 (7) 2.1 电阻测量电路 (7) 2.2 电容测量电路 (8) 2.3 电感测量电路 (9) 2.4 1602显示电路 (10) 3. 软件设计 (11) 4. 系统测试 (11) 4.1 测试仪器与设备 (11) 4.2 指标测试 (12) 5 结论 (13) 参考文献 (13) 附录1、元器件明细表...............................................................= (13) 附录2:程序清单 (13)

1. 系统设计 1.1 设计要求 设计并制作一台数字显示的电阻、电容和电感参数测试仪 1. 测量范围:电阻100Ω~1MΩ;电容100pF~10000pF;电感100μH~10mH。 2. 测量精度:±5% 。 3. 带有显示部分。 1.2 方案比较 1.2.1 电阻测量方案 相位测量方案的关键问题是电阻测量方法的选择。 方案一:串联分压原理 V Rx R0 图1串联电路原理图 根据串联电路的分压原理可知,串联电路上电压与电阻成正比关系。通过测量Rx和R0上的电压。由公式Rx=Ux/(U0/R0) 方案二:利用直流电桥平衡原理的方案 图2 电桥(其中R1,R2,为可变电位器,R3为已知电阻,R4为被测电阻)根据电路平衡原理,不断调节电位器,使得电表指针指向正中间。由R1*R4=R3*R4.在通过测量电位器电阻值,可得到R4的值。 方案三:利用555构成单稳态的方案

数字电容测量仪

1 绪论 1.1课程设计的背景 很多电子产品中,电容器都是必不可少的电子元件,它在电子设备中充当整流器的平滑滤波、电源的退耦、交流信号的旁路、交直流电路的交流耦合等。固定电容的容量可直接从标称容量上读出,而可调电容的容量则不确定。传统的测量方法都采用交流电桥法和谐振法,通常采用刻度读数,此方法不够直观,因此,设计一个简易电容测试仪作为测量工具是有必要的。 1.2课程设计的内容 本次课程设计的内容是独立完成一个数字电容测试仪的设计,采用EWB电路仿真设计软件完成数字电容测试仪电路的设计及仿真调试,在微机上仿真实现数字电容测试仪的设计。 课程设计具体内容:框图中的外接电容是定时电路中的一部分。当外接电容的容量不同时,与定时电路所对应的时间也有所不同,即C=f(t),而时间与脉冲数目成正比,脉冲数目可以通过计数译码获得。 1.3课程设计的目的 掌握multisim在电子设计中的仿真,分析,调试等应用。 掌握电容数字测量仪的设计组装与调试方法。 熟悉相应的中大规模集成电路的使用方法,并掌握其工作原理 1.4课程设计指标与要求: 指标: (1) 被测电容的容量在0.01μF至100μF范围内。 (2) 设计测量量程。

(3) 用3 位数码管显示测量结果,测量误差小于20%。 课题任务要求: 1、画出总体设计框图,以说明数字电容测试仪由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在EWB上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。

简易电容测量仪

简易电容测量仪 电容是电子线路中最常用的元器件之一,对电容值的测量一般采用利用振荡电路将电容值转换为频率值,再通过频率计数器测量,或利用PWM(脉冲宽度调制)电路将电容值转换为模拟电压值,再通过电压表测量的方法进行。本题要求利用以上所述两种原理中的一种,设计一个简易电容测量仪。 1.实验目的 理解电容的测量原理;掌握利用555集成电路设计振荡器的方法;掌握555单稳态电路的设计方法和应用;理解PWM信号的概念和意义;掌握利用PWM信号发生电路产生模拟直流电压信号的原理和方法;掌握数字电压表或数字频率计的工作原理和设计制作方法。2.设计要求及技术指标 基本部分: (1)系统采用+9V单电源供电; (2)电容测试范围:33~470nF,测量误差≤15%。(测试时分别对33nF、47nF、100nF、 220nF、330nF、470nF等6种电容进行测试); (3)测试结果通过数字电压表(数字万用表直流电压测量档)或自制的数字频率计显示。 采用数字电压表显示时要求电压表示数数值(单位V)为电容值(单位nF)的百分 之一。例如,470nF显示为4.70V,33nF显示为0.33V或330mV;采用自制数字频 率计显示时示数直接代表电容值(单位:nF)。要求示数比较稳定,不乱跳。 (4)在电路板靠边的显著位置焊出被测电容插座和万用表测试孔,用来插被测电容和连 接万用表。电路板焊好后应在每个测试孔的相应位置做出标记。 发挥部分: (1)自制数字电压表,用以代替数字万用表显示被测电容值。要求所显示数值直接表示 被测电容的容值(单位:nF); (2)增加电容测试范围至:1nF~10μF,可手动切换量程; (3)在发挥(2)中要求基础上增加自动量程切换功能; (4)提高测量精度,测量误差≤10%; 3.设计任务 (1)设计,安装、调试所设计的电路; (2)画出完整电路图,详细说明电路原理,写出设计总结报告。 4.工作原理及设计思路参考 555时基电路是一种用途非常广泛的数字-模拟混合集成电路。555时基电路内部结构简单,使用非常灵活,可以组成产生各种波形的脉冲振荡器、定时延时电路、双稳态电路、检测电路、电源变换电路、频率变换电路等。具有工作电压范围宽、输出驱动能力强、应用范围广等特点,已被广泛应用于自动控制、测量、通信等各种领域。 555时基电路常用有单稳态、双稳态和无稳态等三种电路形式。其中单稳态和双稳态电路常用于定时,无稳态电路则多用于多谐振荡器。单稳态电路工作原理见《数字电子技术(第二版)》(侯建军主编,高等教育出版社出版)第八章第四节第三部分(P.396~398)。可知,

数字式电容测试仪的设计

目录 摘要................................................................................................................................................................ 综述............................................................................................................................... 错误!未定义书签。 1 方案设计与分析 (2) 1.1恒压充电法测量 (2) 1.2恒流充电法测量 (2) 1.3脉冲计数法测量 (2) 2 电路设计框图及功能描述 (3) 2.1 电路设计框图 (3) 2.2 电路设计功能描述 (3) 3 电路原理设计及参数计算 (4) 3.1电路原理设计 (4) 3.2单元电路设计与参数计算 (4) 3.2.1控制器电路 (4) 3.2.2时钟脉冲发生器 (5) 3.2.3计数和显示电路 (6) 4 单元电路仿真波形及调试 (8) 4.1多谐振荡器 (8) 4.2单稳态触发器 (9) 4.2.1稳定状态 (9) 4.2.2暂稳态状态 (9) 4.2.3 自动回复状态 (9)

4.3电路原理图与仿真结果显示 (10) 4.3.1电路原理图 (10) 4.3.2仿真结果显示 (11) 5课程设计体会 (14) 参考文献 (15)

摘要 本设计是基于555定时器,连接构成多谐振荡器以及单稳态触发器而测量电容的。单稳态触发器中所涉及的电容,即是被测量的电容 C。其脉冲输入信号是555定时器构 x 成的多谐振荡器所产生。信号的频率可以根据所选的电阻,电容的参数而调节。这样便可以定量的确定被测电容的容值范围。因为单稳态触发器的输出脉宽是根据电容 C值的 x 不同而不同的,所以脉宽即是对应的电容值,其精确度可以达到0.1%。单稳态触发器输出的信号滤波,使最终输出电压 v与被测量的电容值呈线性关系。最后是输出电压的数 o 字化,将 v输入到74160计数译码器中翻译成BCD码,输入到LED数码管中显示出来。 o 关键词:电容;555定时器;线性;计数译码器;LED数码管

数字式电阻电容测量仪设计实现实验报告

电子电路综合实验 总结报告 题目:数字式电阻电容测量仪设计实现 班级: 学号: 姓名: 成绩: 日期:

题目:数字式电阻电容测量仪设计实现 一、实验目的 1、熟悉振荡电路的设计 2、熟悉单稳态电路的设计 3、熟悉计数器的级联工作原理 4、集成芯片7400、7404的工作原理 5、熟悉数码管显示原理。 二、所用仪器 函数信号发生器、示波器、直流稳压源 三、总体框图设计 四、设计步骤 1、显示电路由数码管静态显示 数码管是由发光二极管组成的。在焊接前应该确定其极性是否与设计相符及检测其完好性。数码管按极性可分为共阳型和共阴型。按显示方式又可分动态显示和静态显示。数码管的极性确定可用5V稳压电源串联300欧姆电阻接触数码管管脚的方式。数码管管脚如下图所示。

2、译码器 4511译码器管脚图及功能表如下图所示。 译码器的主要作用是把输入信号转换成下一级芯片/电路可以处理的信号。 根据4511真值表,其主要功能是把二进制信号转换成相应十进制的显示信号。当LE=0,LT非=1,BL非=1时,转换信号。 3、计数器74HC160 计数器的主要作用是计算输入脉冲的个数。74HC160是边沿触发型。74HC160管脚如下图所示。A、B、C、D是置数输入。 参考电路图:

4. 基准时钟信号为电路提供最小计时单位。此设计中,采用的是皮尔斯晶体振荡电路。 皮尔斯电路结构如图所示。二极管用于垫的静电放电。7404是个大增益的放大器。反馈电阻R3大于1M 欧。它使反相器在工作初期处于线形区。 R2作为驱动电位调整之用,可以防止晶振被过分驱动而工作在高次谐波频率上。电容三点式电路的分压电容,接地点就是分压点。分压点为参考点,输入和输出是反相的,形成一个正反馈以保证电路持续振荡。 任选一初始时刻,记录下基准周期。稳定后记录下周期,据此计算单稳态阻容参数。 5. 555管脚图及单稳态触发器 单稳态触发器的特点是电路有一个稳定状态和一个暂稳状态。在触发信号作用下,电路将由稳态翻转到暂稳态,暂稳态是一个不能长久保持的状态,由于电路中RC 延时环节的作用,经过一段时间后,电路会自动返回到稳态,并在输出端获得一个脉冲宽度为w t 的矩形波。 在单稳态触发器中,输出的脉冲宽度w t ,就是暂稳态的维持时间,其长短取决于555外接 电阻电容值。 单稳态触发器脉冲宽度公式: 33 2 0ln ln RC V V V RC t CC CC CC w =--=

数字电容测试仪课程设计报告

# 课程设计任务书 学生姓名:杨坚专业班级:电信1006 指导教师:工作单位:信息工程学院 题目:电容测试仪 / 初始条件: 具备电子电路的基础知识和设计能力;具备查阅资料的基本方法;熟悉常用的电子器件;熟悉电子设计常用软件的使用; 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计电容数字测试电路; 2、测量电容范围:100pf~1μf; 3、数码管显示电容值; 4、掌握数字电路的设计及调试方法; 5、撰写符合学校要求的课程设计说明书。 【 时间安排: 时间一周,其中2天原理设计,3天电路调试 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 ) 1 绪论 .............................................................. 错误!未定义书签。 选题背景 ....................................................... 错误!未定义书签。 设计要求 ....................................................... 错误!未定义书签。2系统概述........................................................... 错误!未定义书签。 方案的选择及可行性分析.......................................... 错误!未定义书签。 方案论证 ....................................................... 错误!未定义书签。 设计思路 ................................................... 错误!未定义书签。 设计的总体框图.............................................. 错误!未定义书签。 【 用555定时器构成的多谐振荡器.................................... 错误!未定义书签。 用555定时器构成的单稳态电路.................................... 错误!未定义书签。 74LS160构成的计数器............................................ 错误!未定义书签。 74LS273锁存器.................................................. 错误!未定义书签。 74LS247译码器.................................................. 错误!未定义书签。 数码管显示电路.................................................. 错误!未定义书签。 4 电路总体描述及功能实现............................................. 错误!未定义书签。 电路参数选择 ................................................... 错误!未定义书签。 《 产品使用说明 ................................................... 错误!未定义书签。 仿真安装与调试.................................................. 错误!未定义书签。5实物制作与调试..................................................... 错误!未定义书签。 制作 ............................................................ 错误!未定义书签。 调试 ............................................................ 错误!未定义书签。6结论............................................................... 错误!未定义书签。 总结 ........................................................... 错误!未定义书签。 收获与体会 ..................................................... 错误!未定义书签。· 参考文献 ............................................................ 错误!未定义书签。附件1 ............................................................... 错误!未定义书签。附件2 ............................................................... 错误!未定义书签。附件3 ............................................................... 错误!未定义书签。附件4 ............................................................... 错误!未定义书签。本科生课程设计成绩评定表............................................. 错误!未定义书签。

相关文档
最新文档