UG实验报告

UG实验报告
UG实验报告

西南科技大学

学生实验报告

实验课程名称数字化设计与制造

开课实验室CAD、CAM实验室

学院制造学院专业成型班级

学生姓名学号

开课时间2012 至2013 学年第 1 学期

制造科学与工程学院制

《数字化设计与制造》实验报告

第二个图第三个图:

六、实验结果分析

这是第一个图的模型历史记录。当第一个部分与第二个部分进行布尔运算求和的时候,会出现有一部分多余的实体,这样我们就应该把这个部

分想办法去掉。才能得到最终想要的图形,于是我就有了这样的模型历史

记录。

第二个图的模型历史记录。在这个图的操

UG加工实验报告范本

. 计算机辅助设计 UG加工仿真 姓名: 学号: 班级: 指导老师:

2015年11月27日 前言 一实验目的: 通过本学期对UG.NX8.0的学习最终对14个模型进行各自不同特点的加工,对各种工序的创建,包括刀具的创建、集合体的创建、粗加工、半精加工、精加工等。最后需要自己在Pore中自己进行一个建模再导入UG中进行加工。在每个模型加工过程中进行截图保存最终建立文档。 二实验原理: UG是计算机辅助制造的一种,是当今世界上最先进、最流行的一种计算机辅助设计与制造系统软件之一。它集合了概念计,绘图,工程设计,分析与加工制造功能,实现了优化设计与产品生产的过程的组合。现在广泛用于机械,汽车,模具,航空航天医疗仪器等各种行业。UGNX由多个模块组成,使用这些模块可以实现工业设计。绘图,装配,辅助制造,加工和分析的一体化生产过程。NX是当今世界上最先进且高集成的CAD\CAM\CAE高端软件之一,是siemens plm software公司的高端产品。

目录 1.对如图所示的零件加工 (5) 2.对如图所示零件进行加工 (7) 3.对如图所示零件进行加工 (9) 4.对如图零件进行加工 (11) 5.对如图零件进行加工 (12) 6.对如图零件进行加工 (14) 7.对如图零件进行加工 (16) 8.对如图零件进行加工 (19) 9.对如图零件进行加工 (20) 10.对如图零件进行加工 (22) 11.对如图零件进行加工 (24) 12.对如图零件进行加

工 (26) 13.对如图零件进行加工 (28) 14.对如图零件进行加工 (29) 15.个人作业 (32) 课程设计心得体会 (35)

氨基酸纸上层析实验报告

竭诚为您提供优质文档/双击可除氨基酸纸上层析实验报告 篇一:实验六氨基酸的纸层析法 氨基酸的纸层析法 一.目的 了解并掌握氨基酸纸层析的原理和方法。 二、原理 以滤纸为支持物的层析法,称为纸层析法。纸层析所用展层剂大多由水和有机溶剂组成。展层时,水为静止相,他与滤纸纤维亲和力强;有机溶剂为流动相,它与滤纸纤维亲和力弱。有机溶剂在滤纸上又下向上移动的,称为上行法;有上向下移动的,称为下行法。将样品在滤纸上确定的原点处展层,由于样品中各种氨基酸在两相中不断进行分配,且他们的分离系数各不相同,所以不同的氨基酸随流动相移动的速率也不相同,于是各种氨基酸在滤纸上就相互分离出来,形成距原点不等的层析点。 在一定条件下(室温、展层剂的组成、滤纸的质量、ph 值等不变),不同的氨基酸有固定的移动速率(Rf值)Rf=

原点到层析点中心的距离/原点到溶剂前沿的距离。用混合氨基酸做样品时,如果只用一种溶剂展层,由于某些氨基酸的移动速率相同或相近,就不能将它们分开,为此,当用一种溶剂展层后,可将滤纸旋转90度,以第一次所的层析点为原点,在用另一溶剂展层,从而达到分离的目的。这种方法称为双向层析法。 本试验主要介绍的是单向层析法。其中混合氨基酸有精氨酸、酪氨酸、苯丙氨酸组成。 三、实验仪器 1、新华滤纸 2、层析缸 3、细线 4、点样管 5、橡皮筋 6、电吹风 7、喷雾器 四、实验试剂 1、混合氨基酸(精氨酸,酪氨酸,苯丙氨酸) 2、展层剂:正丁醇:12%氨水:95%乙醇:蒸馏水=13:3:3:1(v:v) 3、0.5%茚三酮—无水丙酮溶液:0.5g茚三酮溶于100ml 无水丙酮,贮于棕色瓶中

Web网页设计实验报告

WEB系统开发 综合实验报告 题目红尘客栈网上订房页面 专业计算机科学与技术(信息技术及应用) 班级计信2班 学生蒋波涛 重庆交通大学 2013年

目录 一、设计目的 (3) 二、设计题目 (3) 三、结构设计 (3) 四、技术分析 (4) 五、设计过程 (7) 六、实验心得 (10) 七、实验总结 (11)

一、设计目的 在Internet飞速发展的今天,互联网成为人们快速获取、发布和传递信息的重要渠道,它在人们政治、经济、生活等各个方面发挥着重要的作用。因此网站建设在Internet 应用上的地位显而易见,它已成为政府、企事业单位信息化建设中的重要组成部分,从而倍受人们的重视。我们当代大学生更是离不开网络给我们带来的好处与便利.但是,我们成天浏览的网站网页到底是如何制作的呢?我想这一点很多同学都没有去深究过.所以,这学期我选择了”web网页设计”这门课, 本课程的设计目的是通过实践使同学们经历网页制作的全过程. 通过设计达到掌握网页设计、制作的技巧。 了解和熟悉网页设计的基础知识和实现技巧。根据题目的要求,给出网页设计方案,可以按要求,利用合适图文素材设计制作符合要求的网页设计作品。 熟练掌握Photoshop cs3、Dreamweaver cs等软件的的操作和应用。增强动手实践能力,进一步加强自身综合素质。学会和团队配合,逐渐培养做一个完整项目的能力。 二、设计题目 《红尘客栈》 三、结构设计 选定主题,确定题目之后,在做整个网站之前对网站进行需求分析。首先,做好需求调研。调研方式主要是上网查阅资料,在图书馆里翻阅相关书籍。 然后,调研结束之后对整个网站进行功能描述,并对网站进行总体规划,接着逐步细化。 我们选做的主题是个人主页,并且选定题目为“红尘客栈”,其目的是做一个简单的网站,介绍酒店概况,提供一定的资讯信息。 四、技术分析 (一)建立布局 在这次的网页设计中用到大量的布局,所以怎么样建立布局是关键。Dreamweaver cs3是大多数人设计网页的称手兵器,也是众多入门者的捷径。特别是其在布局方面的出色表现,更受青睐。大家都知道,没有表格的帮助,很难组织出一个协调合理的页面。 1.点击“ALT+F6”键,进入布局模式,插入布局表格。建立一个大概的布局。 2.使用背景图片:选中该项,按浏览可以插入一幅准备好的图片作为表格的背景,因为图片是以平铺的形式作为表格背景,所以表格大小和图片尺寸都要控制好。 (二)网页中的图像

清华大学操作系统课程lab2实验报告

物理内存管理实验报告 练习0:合并lab1和lab2 书上提示使用“diff/merge”工具来合并lab1和lab2的代码,可是没有找到这款工具,但是被推荐使用meld工具,也能很方便地将不同目录的文件异同比较出来,可以一一手动合并,删除,增加代码,避免了不必要的错误。 这部分主要合并的文件有kdebug.c、trap.c。 练习1:实现firstfit连续物理内存分配算法。 完成合并代码的工作之后,make qemu执行lab2,结果出现错误提示:

提示default_pmm.c的第283行出现错误,打开文件看,发现这句话出现在函数static void default_check(void) 中,这是一个检查函数,并且提示不要修改。 当然,为了调试工作,在检查函数中加一些代码还是可以的。例如通过cprintf输出一些调试信息,除此之外,还发现check函数中使用大量assert函数,大概作用是当参数条件不为1的时候就弹出debug minitor。也可以用来调试作用。 起初,我仔细看了basic_check函数,它的作用只是做了一些简单的分配释放的操作,并且也没出错,后面看了default_check函数之后也没找到问题所在。再然后是重点分析default_alloc_pages和default_free_pages函数,结合list_add函数看了许久才发现它的空闲块插入顺序有问题:每次插入都是从free_list的头部插入,事实上,应该保持free_list 的顺序,地址小的空闲块应该放在前面,地址大的空闲块应该放在后面,以便firstfit算法的从头快速查找。 找到问题后大致明白了这个exercise的目标:这个练习主要就是完善 default_alloc_pages和default_free_pages。 关键变量: #define free_list (free_area.free_list)//空闲块的链表,但是不指向具体页 #define nr_free (free_area.nr_free)//空闲块的个数 关键函数: list_init(&free_list);//初始化空闲块链表 SetPageProperty(base); ClearPageProperty(base); 关键宏: le2page(le, page_link);//由链表指针得到对应页的地址 (一)Alloc pages:用firstfit算法寻找空闲块 list_entry_t *le = &free_list; while ((le = list_next(le)) != &free_list) { struct Page *p = le2page(le, page_link);

网页制作实验报告

网页制作实验报告 页制作实验报告 实验一:站点设置 一、实验目的及要求 本实例是经过“站点定义为”对话框中的“高级”选项卡创建一具新站点。 二、仪器用具 1、生均一台多媒体电脑,组建内部局域,同时接入国际互联。 2、安装windows xp操作系统;建立iis服务器环境,支持asp。 3、安装页三剑客(dreamweaver mx;flash mx;fireworks mx)等页设计软件; 三、实验原理 经过“站点定义为”对话框中的“高级”选项卡创建一具新站点。 四、实验办法与步骤 1)执行“站点\治理站点”命令,在弹出的“治理站点”对话框中单击“新建”按钮,在弹出的快捷菜单中挑选“站点”命令。 2)在弹出的“站点定义为”对话框中单击“高级”选项卡。 3)在“站点名称”文本框中输入站点名称,在“默认文件夹”文本框中挑选所创建的站点文件夹。在“默认图象文件夹”文本框中挑选存放图象的文件夹,完成后单击“确定”按钮,返回“治理站点”对话框。 4)在“治理站点”对话框中单击“完成”按钮,站点创建完毕。 五、实验结果 六、讨论与结论 实验开始之前要先建立一具根文件夹,在实验的过程中把站点存在自己建的文件夹里,如此才干使实验条理化,别至于在实验后寻别到自己的站点。在实验过程中会浮现一些选项,计算机普通会有默认的挑选,最后别要去更改,假如要更改要先充分了解清晰该选项的含义,以及它会造成的效果,否则会使实验的结果失真。实验前先熟悉好操作软件是做好该实验的关键。 实验二:页面图像设置 一、实验目的及要求: 本实例的目的是设置页面的背景图像,并创建鼠标经过图像。 二、仪器用具 1、生均一台多媒体电脑,组建内部局域,同时接入国际互联。 2、安装windows xp操作系统;建立iis服务器环境,支持asp。 3、安装页三剑客(dreamweaver mx;flash mx;fireworks mx)等页设计软件; 4、安装acdsee、photoshop等图形处理与制作软件; 5、其他一些动画与图形处理或制作软件。 三、实验原理 设置页面的背景图像,并创建鼠标经过图像。 四、实验办法与步骤 1) 在“页面属性”对话框中设置页面的背景图像。 2) 在页面文档中单击“”插入鼠标经过图像。 五、实验结果

控制工程基础实验——Mat lab仿真实验报告

实验一:Mat lab 仿真实验 1.1直流电机的阶跃响应。 给直流电机一个阶跃,直流电机的传递函数如下: ) 1101)(11.0(50 )(4 +?+=-s s s G 画出阶跃响应如下: Step Response Time (sec) A m p l i t u d e 零极点分布: P ole-Zero Map Real Axis I m a g i n a r y A x i s

分析:直流电机的传递函数方框图如下: 所以传递函数可以写成: 1 /1)() (2++= s T s T T C s U s n m a m E a 式中,R L T C C JR T a E M m ==,分别为电动机的机电时间常数与电磁时间常数。一般相差不大。 而试验中的传递函数中,二者相差太大,以至于低频时: 低频时) (1 1.050 ) 1101)(11.0(50 )(4+≈ +?+= -s s s s G 所以对阶跃的响应近似为: )1(50)(1.00t e t x --=

1.2 直流电机的速度闭环控制 如图1-2,用测速发电机检测直流电机转速,用控制器Gc(s)控制加到电机电枢上的电压。 1.2.1 假设G c(s)=100,用matlab 画出控制系统开环Bode 图,计算增益剪切频率、相位裕量、相位剪切频率、增益裕量。 M a g n i t u d e (d B )10 10 10 10 10 10 10 10 P h a s e (d e g ) Bode Diagram Frequency (rad/sec) 幅值裕量Gm =11.1214 相位裕量Pm = 48.1370

用UG设计三维管道模型实验报告doc

课程名称: UG实验年级:上机日期: 专业班级:学号:姓名: 教师:成绩: 一、实验名称 实体造型的制作 二、实验目的 通过本次实验来复习UG的基础知识,常用特征命令来绘制较为复杂的三维实体模型。 三.实验环境(软、硬件平台) 本次实验是基于我的笔记本上安装的UG NX7.0软件平台。UG NX(原名:Unigraphics)是一个由西门子UGS PLM软件开发,集CAD/CAE/CAM 概念(CAID),到设计(CAD),到分析(CAE),到制造(CAM)的完整流程。 三、实验内容及步骤 1 轴承制作 步骤1 新建一个模型文件,选择插入→曲线→直线和圆弧→圆圆心和半径,在X-Y平面画圆,直径分别为15,25,35,45,然后选择拉伸,将拉伸距离设为10,单击确定。

步骤2 在特征工具栏中选择割槽,单击球形端,再单击拉伸生成的圆环外侧表面,单击球形端沟槽,将沟槽直径改为23,球直径改为6,单击确定,弹出定位沟槽,单击圆环一侧边,再单击其相应的沟槽边,最后在创建表达式输入距离2,单击确定。 步骤3 在特征工具栏中单击拉伸,选择直径35,45的圆,距离改

为10,单击确定。 步骤4 在特征中单击球,单击中心点和直径,将直径设为6,单击确定,再后弹出的点的对话框中,将球心设为(0,14.5,5),布尔运算单击创建,完成。

步骤5 ctrl+T,打开变换菜单,单击绕直线旋转,单击点和矢量,设点为(0,0,0),将矢量设为Z轴正向,角度设为36,单击多个副本可用,副本数9,单击确定。

步骤6 单击特征中圆柱,将轴的矢量改为Z轴正向,单击点构造器,在点中将点设为(0,0,-10),点击确定,将直径改为15,高度 改为80,单击完成。 实验2 水阀制作 步骤1 创建一个X-Y平面的草图,画如图的图案。

实验报告纸格式

实验报告纸格式

肇庆学院 肇庆学院学院电子电工课实验报告 12 年级机械4 班组实验日期 姓名老师评定 ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 实验题目实验二射极跟随器 一、实验目的 1、掌握射极跟随器的特性及测试方法 2、进一步学习放大器各项参数测试方法 二、实验原理 射极跟随器的原理图如图5-1所示。它是一个电压串联负反馈放大电路,它具有输入电阻高,输出电阻低,电压放大倍数接近于1,输出电压能够在较大范围内跟随 输入电压作线性变化以及输入、输出信号同相等特点。 图5-1 射极跟随器 射极跟随器的输出取自发射极,故称其为射极输出器。 1、输入电阻R i 图5-1电路 R i =r be +(1+β)R E 如考虑偏置电阻R B 和负载R L 的影响,则 R i =R B ∥[r be +(1+β)(R E ∥R L )] 由上式可知射极跟随器的输入电阻R i 比共射极单管放大器的输入电阻R i =R B ∥r be 要高得多,但由于偏置电阻R B 的分流作用,输入电阻难以进一步提高。

输入电阻的测试方法同单管放大器,实验线路如图5-2所示。 图5-2 射极跟随器实验电路 R U U U I U R i s i i i i -== 即只要测得A 、B 两点的对地电位即可计算出R i 。 2、输出电阻R O 图5-1电路 β r R ∥βr R be E be O ≈= 如考虑信号源内阻R S ,则 β ) R ∥(R r R ∥β)R ∥(R r R B S be E B S be O +≈+= 由上式可知射极跟随器的输出电阻R 0比共射极单管放大器的输出电阻R O ≈R C 低得多。三极管的β愈高,输出电阻愈小。 输出电阻R O 的测试方法亦同单管放大器,即先测出空载输出电压U O ,再测接入负载R L 后的输出电压U L ,根据 O L O L L U R R R U += 即可求出 R O L L O O 1)R U U ( R -= 3、电压放大倍数 图5-1电路

操作系统lab2实验报告

HUNAN UNIVERSITY 操作系统实验报告

目录 一、内容 (3) 二、目的 (3) 三、实验设计思想和练习题 (3) 练习0:填写已有实验 (3) 练习1:实现 first-fit 连续物理内存分配算法(需要编程) (3) 练习2:实现寻找虚拟地址对应的页表项(需要编程) (8) 练习3:释放某虚地址所在的页并取消对应二级页表项的映射(需要编程) (11) 运行结果 (13) 四、实验体会 (13)

一、内容 本次实验包含三个部分。首先了解如何发现系统中的物理内存;然后了解如何建立对物理内存的初步管理,即了解连续物理内存管理;最后了解页表相关的操作,即如何建立页表来实现虚拟内存到物理内存之间的映射,对段页式内存管理机制有一个比较全面的了解。 二、目的 1.理解基于段页式内存地址的转换机制; 2.理解页表的建立和使用方法; 3.理解物理内存的管理方法。 三、实验设计思想和练习题 练习0:填写已有实验 使用eclipse中的diff/merge工具将实验1的代码填入本实验中代码中有“LAB1”的注释相应部分。 练习1:实现 first-fit 连续物理内存分配算法(需要编程) 在实现first fit 内存分配算法的回收函数时,要考虑地址连续的空闲块之间的合并操作。提示:在建立空闲页块链表时,需要按照空闲页块起始地址来排序,形成一个有序的链表。可能会修改default_pmm.c 中的default_init,default_init_memmap,default_alloc_pages, default_free_pages等相关函数。请仔细查看和理解default_pmm.c中的注释。 请在实验报告中简要说明你的设计实现过程。请回答如下问题: 你的first fit算法是否有进一步的改进空间。 解答: 分析思路: (1)数据结构: A.每个物理页利用一个Page结构体表示,查看kern/mm/memlayout.h包括:

UG加工实验报告范本

. . . . . 计算机辅助设计 UG加工仿真 : 学号: 班级: 指导老师:

2015年11月27日 前言 一实验目的: 通过本学期对UG.NX8.0的学习最终对14个模型进行各自不同特点的加工,对各种工序的创建,包括刀具的创建、集合体的创建、粗加工、半精加工、精加工等。最后需要自己在Pore中自己进行一个建模再导入UG中进行加工。在每个模型加工过程中进行截图保存最终建立文档。 二实验原理: UG是计算机辅助制造的一种,是当今世界上最先进、最流行的一种计算机辅助设计与制造系统软件之一。它集合了概念计,绘图,工程设计,分析与加工制造功能,实现了优化设计与产品生产的过程的组合。现在广泛用于机械,汽车,模具,航空航天医疗仪器等各种行业。UGNX由多个模块组成,使用这些模块可以实现工业设计。绘图,装配,辅助制造,加工和分析的一体化生产过程。NX是当今世界上最先进且高集成的CAD\CAM\CAE高端软件之一,是siemens plm software公司的高端产品。

目录 1.对如图所示的零件加工 (4) 2.对如图所示零件进行加工 (6) 3.对如图所示零件进行加工 (8) 4.对如图零件进行加工 (10) 5.对如图零件进行加工 (11) 6.对如图零件进行加工 (13) 7.对如图零件进行加工 (15) 8.对如图零件进行加工 (17) 9.对如图零件进行加工 (18) 10.对如图零件进行加工 (20) 11.对如图零件进行加工 (22) 12.对如图零件进行加工 (24) 13.对如图零件进行加

工 (26) 14.对如图零件进行加工 (27) 15.个人作业 (29) 课程设计心得体会 (32)

网页制作综合性实验报告模板

“巴黎文化之旅”简介 华南师范大学大学网页制作基础课程综合设计性实验报告 年级专业:协作小组编号: 以小组名义写报告,一个小组一份。红字部分为提醒学生修改的部分。记得删除指导教师:李桂英 学号姓名(组长排名第一) 20080000001 张三 200802010301 李四 摘要:网页是当前Intetnet的最常见应用之一,是人们获取和发布 信息的主要途径,掌握网页制作的原理和方法,对今后工作生活有很 大的帮助。本综合实验是在了解了网页设计的原则方法,掌握了Fireworks、Dreamweaver等工具处理网页中的图形图像及建立、编 辑网页页面的操作技能后,运用所学过的所有相关知识综合设计制作 一个介绍巴黎文化和风光的网站。通过设计与制作,了解和掌握了网 站的设计建立流程与网页的制作方法。 关键词:图形图像处理、表格布局、网页模板、超链接、框架、音频、 视频 一、“巴黎文化之旅”设计要求

1、绘制网站结构图,将各模块关系用简明的图示描述出来 2、网站制作时需要使用Dreamweaver建立并管理站点,并在 站点根目录下建立images等文件夹。 3、网站至少包括10个以上的网页,每个同学至少制作2个以 上的网页。 4、要求至少要使用模板技术或框架技术中的一种来搭建网站 整体架构。 5、页面美观,包含多种媒体,如文字、图片、动画(GIF或 FLASH等)音频、视频等。 6、网站制作完成后采用压缩工具将整个网页文件夹转换成压 缩文件再上传。 二、“巴黎文化之旅”设计方案 ⑴网站标题:巴黎文化之旅 ⑵网站内容简介:本网站通过图片、视频、音乐、文字等多种媒体的形式展现花都巴黎的文化和城市风光、并为旅行者提供了旅游信息和建议。 ⑶网站结构图 网站首页 (FLASH) Index.htm 内文首页default.htm

lab1汇编语言与DOS系统实验报告

汇编语言与DOS系统实验报告 一、实验目的 1、掌握x86体系段式内存组织方式,了解如何用程序指令访问不同的内存空 间 2、了解DOS系统的基本操作 3、掌握汇编语言的编译和调试方法 二、实验条件 1、DOS操作系统平台 三、实验原理 1、x86实模式下内存采用分段的组织方式,将20位的地址空间分为16位的 段地址和16位的偏移地址,表示为“段地址:偏移地址”的形式,实际物理地址=段地址×16+偏移地址。 2、DOS系统基本操作命令: (1)cd命令进入磁盘或文件夹 (2)dir命令列出目录下的文件 (3)makedir创建目录 (4)del删除文件 (5)copy复制文件 (6)rename文件重命名 3、汇编语言编译命令: (1)edit命令编辑程序

(2)masm生成目标文件 (3)link链接生成可执行文件 (4)输入文件名运行程序 4、程序调试方法 使用debug命令调试程序,常用的调试参数有: (1)-u反汇编生成程序的汇编代码 (2)-d查看内存地址的内容 (3)-p/t单步调试 (4)-g设置断点 (5)-e修改指定地址内容 四、实验内容 1、写出A+B problem的汇编代码,使用编译命令生成可执行文件并使用 debug加载可执行程序。实验代码如下: DATA SEGMENT ADDER1 DB 35H ADDER2 DB 48H SUM DB ? DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA

MOV DS,AX MOV AL,ADDER1 ADD AL,ADDER2 MOV SUM,AL MOV AX,4C00H INT 21H CODE ENDS END START 首先将源文件使用masm命令和link命令生成可执行文件,使用debug命令调试程序,使用-u参数显示汇编代码,g命令设置断点到MOV SUM,AL指令处,此时使用d ds:0000即可在内存中显示两个加数以及两个数的和的数值,可以使用-e命令修改参数。 2、增加变量N,实现N个数字的加法运算,实验中N设置为4,实验代码 如下: DATA SEGMENT ADDER1 DB 35H ADDER2 DB 48H ADDER3 DB 20H ADDER4 DB 30H SUM DB ? DATA ENDS

网页设计实验报告

中南民族大学管理学院学生实验报告 课程名称:《网页设计设计与制作教程》姓名: 学号: 年级: 专业:信息管理与信息系统 指导教师: 实验地点:管理学院综合实验室 2012学年至2013学年度第2学期

目录 实验一HTML上机作业 实验二Dreamweaver上机1实验三Dreamweaver上机2实验四Dreamweaver上机5

实验(一)HTML上机作业 实验时间:同组人员: 实验目的: 熟悉基本的html语言的编写,利用文本编辑器能制作出简单的网页。 实验内容: 1.了解、掌握使用记事本创建web文件; 2.熟悉课堂例子; 3.利用html语言编写网页文件,达到以下效果:(背景图片已给出)

4.利用html语言编写网页文件,达到以下效果:(有 背景音乐,四个图标用表格来排列,且点击任意一图标均可链接进入相对应网站,所有素材均给出) 5.利用html语言编写网页文件,达到以下效果:(用框架标记将前面所做两个练习连接起来,分别在右边的窗口显示)

6.用记事本编辑html 语言创建一个网页(至少要有三页),内容为简单的自我介绍,要求使用到下列一些

功能:利用表格和框架进行合理布局、对页面进行合理排版、超级链接(本地链接和异地链接)、对页面字体进行大小颜色控制、在页面中插入图像或动画、对页面有一些整体控制(比如插入背景图片等)、在页面插入音乐和视频。 实验步骤: 先熟看书本上的相关知识点和例题,接着将课本上的例题重新操作一遍,然后再根据书上的例子,利用记事本打入相关代码再以html格式保存。 实验结果分析: Html是网页制作的基本语言,要熟悉html网页文件的基本构成和各个代码所能实现的效果,打入代码过程稍显繁琐,而且刚刚学习经常会出现各个代码所能实现的效果的记忆错误,还要经常翻书。但其中也有很多好处,它使我们更为了解各种网页效果实现的过程,它不会产生垃圾代码提高了网页的传输效率。 指导教师评阅 1、实验态度:不认真(),较认真(),认真() 2、实验目的:不明确(),较明确(),明确() 3、实验内容:不完整(),较完整(),完整() 4、实验步骤:混乱(),较清晰(),清晰()

Lab1_体系结构实验报告

2012年3月1日 一、实验目的和要求 1.understand the principles of ALU and master methods of ALU design 2.understand the principles of ALU controller and master methods of ALU controller design 3.understand the principles of register file and master methods of register file design so the task is first, design a ALU with ALU controller then, design a register file 二、实验内容和原理 2.1 ALU with ALU controller We input the operand r, s; both are 32 bit integer, and aluc is the control code that defines the operation. So we just make the code block, totally as ALU block, ALUC block, display block.

Figure 1 the input and output diagram Figure 2 ALU operations Figure 3 the truth table of operation cod e

Figure 4 principle of ALU 2.2 register file The process is similar to the 2.1, when we get the principle of register file , it can be easily coding. Figure 5 the input and output

web动态网页设计实验报告

武汉理工大学华夏学院课程设计 课程名称web技术应用基础 题目在线图书销售系统的设计 专业计算机应用 班级计应1091 姓名 学号10210409126 指导教师库少平

利用已经学习的动态网页知识和数据库知识进行一个综合练习。整个设计过程中采用由点到面的方法即根据体系规划及课程系统规划的要求利用各种工具方法制作各子系统的原型系统然后测试分析原型系统最后根据原型系统的风格框架等完成网络考试的全部内容。根据需要利用ASP、SQL SEVER等技术使用数据库完成交互式模块的设计。基本信息管理包括用户管理员义工等对于此类信息提供了添加修改删除查看等四种功能 二、课程设计要求: 需要我们实现的功能有: 1、系统的用户登录及身份验证要求。 a)系统用户注册 b)验证用户的注册信息 c)系统用户进入系统时身份验证 d)系统用户在不同页面浏览时的身份验证 2、在线图书销售系统要求。 a)允许用户浏览所有图书 b)允许用户按图书种类进行查找 c)允许用户购买自己喜欢的图书 d)允许用户对购物车进行管理 e)系统实现订单的处理 f)系统完成整个购物流程 3、图书销售系统的管理子系统要求。 a)对管理员的身份进行验证 b)对数据库中的图书信息进行管理 c)对数据库中的用户信息进行管理 d)对数据库中的订单信息进行管理

3.1需求分析 本系统设计的主要实现网上图书产品的展示与在线定购以及对不同身份的人员包括管理人员,注册用户和普通浏览者进行管理。图书产品展示能分页分类进行显示。系统采用ASP在网络上架构一个网上的书店,通过网上书店可以轻松实现图书信息的查询和采购。 3.2模块设计 3.2.1 SQL数据库的创建 创建一个空数据库,然后编辑数据表的内容在动态网页面中,一般应用这种方式。

UG实验报告

《计算机三维设计基础》上机实验报告第1次 实验名称:UG NX6基础 实验目的: 1. 熟悉功能模块与界面组成 2. 掌握基本操作(文件管理、键盘和鼠标操作) 3. 熟悉基本环境(定制工具、界面设置等) 实验内容: 1 运行UG NX6程序 运行UG NX 6程序,进入gateway基本界面。注意了解一些基本概念。在当前界面下可进行文件的基本操作(新建、打开、打开最近访问的部件)以及工具栏的设置(在工具栏空白区单击鼠标右键弹出对话框)。 2、新建文件 在所示界面中单击“新建”,弹出新建文件对话框。选择“模型”模块下的“模型”文件,尺寸单位选择“毫米”或“英寸”,输入文件名并选择保存位置(注意文件名和保存位置路径不能包含中文),单击“确定”完成。选择“建模”进入建模模块(“开始”下拉菜单中如果没有“建模”,是因为当前已在“建模”模块下),了解工作界面组成(标题栏、菜单栏、工具栏、资源栏、绘图区、提示栏和状态栏等)和各工具的基本功能。 4.定制工具条 在工具栏空白区单击鼠标右键,在弹出的下拉菜单中勾选所需工具菜单。如果所需的命令不在其中,可选择弹出菜单最下方的“定制”来手动添加。在弹出的“定制”对话框中,选择“工具条”项,可勾选需要的工具条。注意勾选“文本在图标下面”与不勾选的区别 在“定制”对话框中,选择“命令”项,在下方的“类别”中选择分类并在“命令”中选择该类别中的具体的命令,用鼠标左键将其拖拽到工具栏中即可。在“定制”对话框打开时,也可用鼠标左键将工具栏上的命令图标拖拽出工具栏,以删除不必要的命令。在弹“定制”对话框中,选择“选项”,可对工具栏的外观进行设置。同样选择“排样”和“角色”可进行相关设置。注意定制“排样”中点击“重置布局”可重置当前模块下所有设置,恢复初始默认状态。 5.首选项设置 点击菜单栏中“首选项”,可选择对“对象”、“用户界面”、“资源板”、“选择”、“可视化”、“调色板”、“背景”、“可视化性能”等进行设置。一般情况下默认值即可。 6.鼠标的使用 MB1(左键):单击选择对象;拖动、修改对象;执行某功能; MB2(中键):滚动滚轮缩放试图;在绘图区按下并拖动旋转视图; MB3(右键):单击对象或某区域弹出对相应话框 组合键:MB1+MB2缩放视图;MB2+MB3移动视图;Shift+MB1反选;Shift+MB2移动视图;Ctrl+MB2缩放视图; 7.选择条的使用 例如:选择“面”,则只能在绘图区内选中曲面 常用颜色过滤,即通过颜色过滤来选择同颜色的多个对象 8.对象的显示、隐藏和移动 单击主菜单“编辑”-“显示和隐藏”中的“显示和隐藏”、“隐藏”、“颠倒显示和隐藏”、“立即隐藏”、“显示”、“显示所有此类型的”、“全部显示”,或者单击工具条上对应的图标练习对象的显示和隐藏。切

Lab4实验报告

2012 简单的类MIPS 单 周期处理器实现– 寄存器与内存 LAB4实验报告 王红宾5090519061 SJTU | F0905103

1实验概述 1.1实验名称 简单的类MIPS 单周期处理器实现–寄存器与内存 1.2 实验目的 1.理解CPU 的寄存器与内存 1.3实验范围 本次实验将覆盖以下范围 1.ISE的使用 2.Spartan-3E实验板的使用 3.使用Verilog HDL进行逻辑设计 4.Register 的实现 5.Data Memory 的实现 6.有符号扩展的实现 1.4注意事项 1. 本实验的逻辑设计工具为Xilinx ISE11.1。 2实验内容 2.1实验步骤 1.启动ISE 11.1。 2.选择File > New Project… 出现New Project Wizard。 3.Project Name填写lab5,选择工程Project Location,Top-level Source Type选择HDL。点击Next。

4. Device Properties 中各属性填写如下: Product Category: ALL Family: Spartan3E Device: XC3S500E Package: FG320 Speed: -4 Synthesis Tool: XST(VHDL/Verilog) Simulator: ISim(VHDL/Verilog) ,也可用Modelsim仿真。Preferred Language: Verilog 确认Enable Enhanced Design Summary 已勾选

网页制作实验报告格式

网页制作实验报告格式 sp; 实验一:站点设置 一、实验目的及要求 本实例是通过"站点定义为"对话框中的"高级"选项卡创建一个新站点。 二、仪器用具 1、生均一台多媒体电脑,组建内部局域网,并且接入国际互联网。 2、安装windowsxp操作系统;建立iis服务器环境,支持asp。 3、安装网页三剑客(dreamweavermx;flashmx;fireworksmx)等网页设计软件; 三、实验原理 通过"站点定义为"对话框中的"高级"选项卡创建一个新站点。 四、实验方法与步骤 1)执行"站点管理站点"命令,在弹出的"管理站点"对话框中单击"新建"按钮,在弹出的快捷菜单中选择"站点"命令。 2)在弹出的"站点定义为"对话框中单击"高级"选项卡。 3)在"站点名称"文本框中输入站点名称,在"默认文件夹"文本框中选择所创建的站点文件夹。在"默认图象文件夹"文本框中选择存放图象的文件夹,完成后单击"确定"按钮,返回"管理站点"对话框。 4)在"管理站点"对话框中单击"完成"按钮,站点创建完毕。 五、实验结果

六、讨论与结论 实验开始之前要先建立一个根文件夹,在实验的过程中把站点存在自己建的文件夹里,这样才能使实验条理化,不至于在实验后找不到自己的站点。在实验过程中会出现一些选项,计算机一般会有默认的选择,最后不要去更改,如果要更改要先充分了解清楚该选项的含义,以及它会造成的效果,否则会使实验的结果失真。实验前先熟悉好操作软件是做好该实验的关键。 实验二:页面图像设置 一、实验目的及要求: 本实例的目的是设置页面的背景图像,并创建鼠标经过图像。 二、仪器用具 1、生均一台多媒体电脑,组建内部局域网,并且接入国际互联网。 2、安装windowsxp操作系统;建立iis服务器环境,支持asp。 3、安装网页三剑客(dreamweavermx;flashmx;fireworksmx)等网页设计软件; 4、安装acdsee、photoshop等图形处理与制作软件; 5、其他一些动画与图形处理或制作软件。 三、实验原理 设置页面的背景图像,并创建鼠标经过图像。 四、实验方法与步骤 1)在"页面属性"对话框中设置页面的背景图像。 2)在页面文档中单击""插入鼠标经过图像。

Bomblab实验报告

课程实验报告 课程名称: 计算机系统原理实验 实验项目名称: BombLab 专业班级: 计科1501 姓名: 马子垚 学号: 2 完成时间: 2017 年 4 月 19 日 实验目的 理解汇编语言,学会使用调试器 实验原理 二进制炸弹就是作为一个目标代码文件提供给学生们的程序,运行时,它提示用户输入6个不同的字符串。如果其中任何一个不正确,炸弹就会“爆炸”:打印出一条错误信息。学生通过反汇编与逆向工程来确定就是哪六个字符串,从而解除她们各自炸弹的雷管。

实验步骤及体会 一、实验准备 1、首先,由于虚拟机操作系统与windows系统相互独立,所以 首先将Bomb、c及其相关文件存至百度云盘,然后在 ubantu操作系统内下载至文件系统目录下的下载文件夹 里面: 2、输入、/bomb试运行bomb、c文件时会提示权限不够: 所以需要输入chmod+x+文件名的命令于改变文件或目录 的访问权限。用它控制文件或目录的访问权限。在经过操 作之后,获得了权限,程序可以正常运行了: 3、由于bomb、c文件并没有蕴含许多能破解的信息,所以需要 将其进行反汇编,详细操作如下: 输入objdump –d bomb >bomb、txt将汇编代码输出到自 动生成一个bomb、txt的文件里面,方便我们查瞧与分析 代码:

二、具体实验步骤及其分析 试查瞧导出的bomb、txt,我发现总的文本里面分为很多段,其中就有Phase_1~Phase_6、Phase_defuse、Phase_secret以及其她相关函数的代码,所以我猜测每一段Phase代码就就是我们需要破解的关卡,所以我将它们分别导出新建text文件,逐段分析。 Phase_1及其破解过程: 知识点:string,函数调用,栈 反汇编代码及其分析: 08048f61 : 8048f61: 55 push %ebp //压栈 ebp为栈指针 esp为栈指针。 push指令可以理解为两个步骤: 1、 subl $4 , %esp –栈底向下移一位 2、 movl %ebp ,(%esp) 将ebp的值存入esp中 8048f62: 89 e5 mov %esp,%ebp //把esp赋值给ebp 8048f64: 83 ec 18 sub $0x18,%esp //esp指针下移0x18个字节 8048f67: c7 44 24 04 5c a1 04 movl $0x804a15c,0x4(%esp) //取0x804a15c处的内容存入*(%esp+4) //前一段总的分析:初始化栈,push就是压栈指令,ebp寄存器中的内容就是栈底指针。esp寄存器里面存的就是栈顶地址。通过sub指令,将栈顶固定在第十八个存储单元里面。字符串的内存地址为0804a15c,四个字节。一个存储单元存放8个bit 两个十六进制数字,栈顶及后三个单元存栈顶地址。故用mov指令将字符串地址存在栈顶后的第四个字节处。 8048f6e: 08 8048f6f: 8b 45 08 mov 0x8(%ebp),%eax //取用户输入的内容存入%ebp 8048f72: 89 04 24 mov %eax,(%esp) //eax的值赋值给*esp

生物化学实验报告

实验一糖类的性质实验 (一)糖类的颜色反应 一、实验目的 1、了解糖类某些颜色反应的原理。 2、学习应用糖的颜色反应鉴别糖类的方法。 二、颜色反应 (一)α-萘酚反应 1、原理糖在浓无机酸(硫酸、盐酸)作用下,脱水生成糠醛及糠醛衍生物,后 者能与α-萘酚生成紫红色物质。因为糠醛及糠醛衍生物对此反应均呈阳性,故此反应不是糖类的特异反应。 2、器材 试管及试管架,滴管 3、试剂 莫氏试剂:5%α-萘酚的酒精溶液1500mL.称取α-萘酚5g,溶于95%酒精中,总体积达100 mL,贮于棕色瓶内。用前配制。 1%葡萄糖溶液100 mL 1%果糖溶液100 mL 1%蔗糖溶液100 mL 1%淀粉溶液100 mL %糠醛溶液100 mL 浓硫酸 500 mL 4、实验操作 取5支试管,分别加入1%葡萄糖溶液、1%果糖溶液、1%蔗糖溶液、1%淀粉溶液、%糠醛溶液各1 mL。再向5支试管中各加入2滴莫氏试剂,充分混合。倾斜试管,小心地沿试管壁加入浓硫酸1 mL,慢慢立起试管,切勿摇动。 观察记录各管颜色。 (二)间苯二酚反应 1、原理 在酸作用下,酮醣脱水生成羟甲基糠醛,后者再与间苯二酚作用生成红色物质。此反应是酮醣的特异反应。醛糖在同样条件下呈色反应缓慢,只有在糖浓度较高或煮沸时间较长时,才呈微弱的阳性反应。实验条件下蔗醣有可能水解而呈阳性反应。 2、器材 试管及试管架,滴管 3、试剂 塞氏试剂:%间苯二酚-盐酸溶液1000 mL,称取间苯二酚0.05 g溶于30 mL 浓盐酸中,再用蒸馏水稀至1000 mL。 1%葡萄糖溶液100 mL 1%果糖溶液100 mL 1%蔗糖溶液100 mL 4、实验操作

网页设计实验报告 实验一

昆明理工大学信息工程与自动化学院学生实验报告 (201 —201 学年第一学期) 课程名称:Web设计技术开课实验室:年月日年级、专业、班学号姓名成绩 实验项目名称网页设计简介、HTML基础介绍、文字与 段落、列表指导教师 教师 评语教师签名: 年月日 注:报告内容按实验须知中七点要求进行。 一.打开记事本,编写第一个页面。 (1)打开记事本:单击“开始”→“程序”→“附件”→“记事本”。 (2)输入下面代码: 欢迎你! 我的朋友. 当你进入HTML编程世界的时候,你的
感觉是全新的!
(3)单击“文件”→“选择”→“保存”菜单项,选择文件类型为“所有文件”,文件名输入“index.html”并选择文件保存地址(记住一定要把文件的后缀存为.html或.htm,否则网页无法显示)。 (4)用浏览器打开这个文件,看看效果吧! 二.用HTML语言编写符合以下要求的文档:标题为“班级主页”,在浏览器窗口用户区显示“欢迎来到我们的班级主页”,完成后效果如图: 代码: 班级主页 欢迎来到我们的班级主页

相关文档
最新文档