汽车尾灯控制系统说明书

汽车尾灯控制系统说明书
汽车尾灯控制系统说明书

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

目录

绪论 (2)

第1章设计系统的介绍 (3)

1.1 设计软件Multisim的介绍 (3)

1.2设计语言 C语言的介绍 (3)

第2章方案设计及规划 (4)

2.1 设计内容及要求 (4)

2.2设计方案分析及比较 (4)

2.4设计方案规划及设计(具体设计) (5)

第3章软件设计及仿真 (9)

第 4章电路的制作 (19)

第5章心得体会 (21)

主要元器件清单 (22)

参考文献 (23)

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

绪论

汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也已从过去的全人工开关控制发展到了智能化控制。在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性。难以满足现代汽车的智能化发展[8]。

随着(EDA)仿真技术的发展,数字系统的设计技术和设计工具发生了深刻的变化。利用硬件描述语言对数字系统的硬件电路进行描述是EDA的关键技术之一。C语言是目前主流的硬件描述语言,它具有很强的电路描述和建模能力,且有与具体硬件电路无关和与设计平台无关的特性.在语言易读性和层次化结构设计方面表现出强大的生命力和应用潜力。

本文采用先进的EDA技术,设计了一种基于FPGA的汽车尾灯控制系统.并对系统进行了仿真及验证。用一片FPGA芯片实现从而大大简化了系统结构,降低了成本。提高了系统的先进性和可靠性,能实现控制器的在系统编程。采用这种器件开发的数字系统其升级与改进极为方便。LED(1ight emitting diode,发光二极管)由于其具备体积小、寿命长、低能耗、耐震动、无频闪及反应速度快等优点已成为备受瞩目的新一代车灯光源技术。目前通用的汽车尾灯光源仍然是白炽灯和节能灯占主导地位,加上红、黄等配光透镜实现配光要求,缺点是易损坏、耗电量大、寿命短、激励响应时间长,给道路交通带来安全隐患等。现有的LED汽车尾灯主要有两种:一种是用多个LED密布于灯壳内直接经配光透镜配光,其缺点是用了多颗LED或者用大功率LED,成本高;另外一种是将LED排布成平面或者柱状置于灯壳内,经自由曲面反射腔配光或自由曲面反射腔和配光透镜联台配光,其缺点是自由曲面反射腔制作工艺复杂。现针对目前LED汽车尾灯配光困难、体积大等缺陷,有效利用LED光源体积小、亮度高等特点,设计出一种节能、高效的新型组合式LED汽车尾灯。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

第1章设计系统的介绍

1.1 设计软件Multisim的介绍

Multisim(EWB的最新版本)软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、双踪示波器(对于multiSIM7还具有四踪示波器)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V分析仪和Agilent信号发生器、Agilent万用表、Agilent示波器和动态逻辑平笔等。同时它还能进行VHDL仿真和Verilog HDL仿真。

1.2设计语言 C语言的介绍

C语言是一种计算机程序设计语言。它既具有高级语言的特点,又具有汇编语言的特点。它由美国贝尔研究所的D.M.Ritchie于1972年推出。1978后,C语言已先后被移植到大、中、小及微型机上。它可以作为工作系统设计语言,编写系统应用程序,也可以作为应用程序设计语言,编写不依赖计算机硬件的应用程序。它的应用范围广泛,具备很强的数据处理能力,不仅仅是在软件开发上,而且各类科研都需要用到C语言,适于编写系统软件,三维,二维图形和动画。C语言发展如此迅速,而且成为最受欢迎的语言之一,主要因为它具有强大的功能。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

第2章方案设计及规划

2.1 设计内容及要求

本次设计要求根据已知条件,设计、制作一个汽车尾灯显示的51电路。

设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:

1. 汽车正常行驶时,尾灯全部熄灭。

2. 当汽车右转弯时,右侧3个指示灯按右循顺序点亮。

3. 当汽车左转弯时,左侧3个指示灯按左循顺序点亮。

4. 临时刹车时,所有指示灯同时闪烁。

5. 选择电路方案,完成对确定方案电路的设计。设计要求画出电路原理图

并阐述其基本原理;元器件及参数选择;电路仿真与调试;制作实际运

行装置。

2.2设计方案分析及比较

在设计本电路时,一共考虑过两种方案。下面简单的介绍一下这两种方案:

第一种方案:通过把脉冲电路、开关控制电路、三进制电路、译码与显示驱动电路等模块组合来实现。首先,通过555定时器构成的多谐振荡器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。最后,左转、右转的原始信号通过6个与非门以及7410提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。得到的信号即可输出到发光二极管上,实现所需功能[11]。

这种方案的实现复杂,成本高,调试起来不容易成功,太过繁琐。

第二种方案:通过STC89C52单片机编写程序,来控制LED的亮灭。

这种方案中单片机编程较直接,用硬件电路搭建方便,通过STC89C52单片机编写程序,来控制LED的亮灭。这样可以大大简化系统结构,降低材料的成本。提高系统的先进性和可靠性,能实现控制器的系统编程。采用这种器件开发的数字系统其升级与改进极为方便。

经过小组商量,我们选择了使用第二种方法。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

一、由于现在单片机技术普及,加上用单片机实现起来很方便也很简单,

所以我决定使用单片机来驱动整个电路的运行。

二、单片机的运行都是要有晶振驱动的,有的单片机是内部晶振驱动单片机,有的单片机是外部设计含有晶振的驱动电路来驱动单片机的运行。所以要设计一个驱动电路去驱动单片机。

三、需要往单片机中下载编好的程序,一是用在线下载,二是用下载器下载到单片机中。在没有下载器的情况下,使用在线下载很方便。

2.4设计方案规划及设计(具体设计)

1 系统设计框架图

如图2.1所示。

图2.1 框架图

2 设计任务

设计一个汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(D1-D3)3个发光二极管。用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。当右转弯开关被打开时,右转弯尾灯显示的3个发光二极管按右循环显示。当左转弯开关被打开时,左转弯尾灯显示的3个发光二极管按左循环显示。当急刹车时,6个发光二极管闪

烁[1]。

D1 D2D3D1 D2D3D1 D2D3

图2.2 右转弯显示规律图

方案确定(单片机)

软件编程

软件仿真测试

元器件选择

电路连接

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

L3L2L1L3L2L1L3L2L1

图2.3 左转弯显示规律图

L1L2L3D1D2D3L1L2L3D1D2D3

图2.4 急刹车显示规律图

根据以上要求,要实现当右转弯开关打开时,右转弯尾灯显示的3个发光二极管按右循环规律显示如图2.2;当左转弯开关打开时如图2.3;急刹车时如图2.4。

根据不同的状态,绘制汽车尾灯和汽车运行状态表如表2.1所示。

设左转弯按键为key1,右转弯按键为key2,急刹车按键为key3。

开关控制

汽车运行状态

右转尾灯左转尾灯

key1 key2 key3 D

1

D

2

D

3

L

1

L

2

L

3

0 0 0 正常运行灯灭灯灭

1 0 0 左转弯灯灭L3→L2→L1→L3

0 1 0 右转弯D

1

→D

2

→D

3

→D

1

灯灭

0 0 1 急刹车闪烁闪烁

表2.1 汽车尾灯和汽车运行状态表

3 整体电路工作原理

经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:按键电路、LED显示电路、含有晶振的驱动的电路、复位电路。

单片机在不停的扫描3个按键相连的IO口的高低电平,当3个按键中的一个按键按下去后,单片机会确定是那个按键按下去了;

当没有按键按下去的时候,这3个IO口管脚都是高电平;当某一个按键按下去后,与该按键想连接的IO口管脚变成低电平;

根据不同的按键按下去的情况,控制与6个LED相接的IO口的高低电平来控制LED亮灭情况。

4 单元电路设计

1)按键电路:

最左边为急刹车按键,中间为右刹车按键,最右边为左刹车按键。

单片机在不停的扫描3个按键相连的IO口的高低电平,当3个按键中的一个按键按下去后,单片机会确定是那个按键按下去了;

当没有按键按下去的时候,这3个IO口管脚都是高电平;当某一个按键

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊按下去后,与该按键想连接的IO口管脚变成低电平[8]。

如图2.5所示。

R3(2)

R3

10k

R4

10k

R5

10k

图2.5 按键电路图

2)LED电路:要在左转向的时候,左边的3个灯左循环点亮,右转向的时候,右边的3个灯右循环循环点亮,急刹车的时候全部的LED闪烁,于是我选择了左循环的3个不同颜色的LED,右循环的3个LED的颜色和左循环的3个LED颜色一样,当循环点亮的时候很直观。

如图2.6所示。

D3左

LED-RED

D2左

LED-GREEN

D1左

LED-BLUE

R1(2)

D3右

LED-RED

D2右

LED-GREEN

D1右

LED-BLUE

R1

12

U1(P2.0/A8)

图2.6 LED电路图

6 其他部分的设计

1)含有晶振的驱动的电路:

没有驱动电路的话,也就是晶振不起振,那单片机就不能工作。

如图2.7所示。

┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ 装 ┊ ┊ ┊ ┊ ┊ 订 ┊ ┊ ┊ ┊ ┊ 线 ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊

图2.7 含驱动电路图

使用的是12M 的晶振,两个电容一般很小(小于30PF )。 2)复位电路的设计: 如图2.8所示。

图2.8 复位电路图

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

第3章软件设计及仿真

3.1 程序流程图

如图3.1所示。

图3.1 程序流程图

程序流程:

1、初始化,让单片机的P2口的所有IO管脚全部为高电平

2、进行键盘扫描:

A、判断P1口的低三位哪一个管脚为低电平

B、如果全是高电平,说明没有按键按下

C、如果不是全为高电平,说明有一个按键按下去

D、根据P1口低三位哪一位的电平为低电平来判断是哪个按键按下去的

E、如果是右循环按键按下去了,通过赋值与计算后的再赋值,让P2口对应的右边的3个LED灯按右循环依次点亮。

F、如果是左循环按键按下去了,通过赋值与计算后的再赋值,让P2口对应的左边的3个LED灯按左循环依次点亮。

G、如果是急刹车按键按下去了,通过赋值与计算后的再赋值,让P2口对应6个LED灯闪烁。

键盘扫描,判断是不是

有按键按下去了

如果有按键按下去了,

判断是哪个按键按下

去的

如果没有按键按下去

控制右循环

的按键按下

去了

控制左循环

的按键按下

去了

控制急刹车

的按键按下

去了

右边的3

个LED有

循环依次

点亮

右边的3

个LED有

循环依次

点亮

所有的6

个LED闪

没有LED点亮

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

3.2 开始程序

C语言程序设计具体如下:

#include

void delay(unsigned int z) 延时程序,for循环一直减到0

{

unsigned int x;

unsigned char y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

void keyscan() 键盘扫描程序,,先判断按键按下去没有,哪个

{ 按下去了,然后根据那个按键按下去的情况,

unsigned char temp,temp1,temp2,i,temp3; 进行算法分析和计算,通过给与LED的管脚

temp1=P1; 赋值高低电平来控制灯亮灭情况

temp1=temp1&0x07;

if(temp1!=0x07)

{

delay(10);

temp2=P1;

temp2=temp2&0x07;

if(temp1==temp2)

{

switch(temp1)

{

case 0x06: //右转向灯

{

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

temp=0xfe;

for(i=1;i<=3;i++)

{

delay(1000);

P2=temp;

temp=temp-i;

if(temp==0xf8)

temp=0xfe;

if(i==3)

i=0;

temp3=P1;

temp3=temp3&0x07;

if(temp3==0x07)

{

P2=0xff;

i=4;

}

}

if(i==5)

break;

}

case 0x05: //左转向灯{

temp=0xdf;

for(i=1;i<=3;i++)

{

delay(1000);

P2=temp;

temp=temp+(16/i);

if(temp==0xfc)

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

temp=0xdf;

if(i==3)

i=0;

temp3=P1;

temp3=temp3&0x07;

if(temp3==0x07)

{

P2=0xff;

i=4;

}

}

if(i==5)

break;

}

case 0x03: //刹车

{

P2=0xc0;

delay(1000);

P2=0xff;

delay(1000);

temp3=P1;

temp3=temp3&0x07;

if(temp3==0x07)

break;

}

default:P2=0xff;break;

}

}

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

}

}

void main() 先初始化,P2脚全为高电平,while(1)无限循环,

{ 开始一直进行键盘扫描P2=0xff;

while(1)

{

keyscan();

}

}

3.3 仿真

如图3.2所示。

线

图3.2仿真完整电路图

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊1.当左转向按键按下去时的仿真图,如图3.3所示。

图3.3 按下左转向按键时仿真图(L1

点亮)图3.4 按下左转向按键时仿真图(L2点亮)

┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ 装 ┊ ┊ ┊ ┊ ┊ 订 ┊ ┊ ┊ ┊ ┊ 线 ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊

图3.5 按下左转向按键时仿真图(L3点亮)

2.当右转向按键按下去时的仿真图,如图

3.6所示

图3.6 按下右转向按键时仿真图(D1 点亮)

┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ 装 ┊ ┊ ┊ ┊ ┊ 订 ┊ ┊ ┊ ┊ ┊ 线 ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊ ┊

图3.7 按下右转向按键时仿真图(D2

点亮)

图3.8 按下右转向按键时仿真图(D3点亮)

3.当急刹车时的仿真图,如图3.9所示。

图3.9 急刹车时的仿真图(全部点亮)┊

线

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

第4章电路的制作

4.1 芯片STC89C52

优点是:加密性强,很难解密或破解,解密费用很高、国内能解密的人少,一般的仿制者望而退步;超强抗干扰,高抗静电,宽电压,不怕电源抖动,而且单片机内部的电源供电系统、复位电路等经过特殊处理等;通过编写的程序可以实现高可靠性;超低功耗;在系统可编程,无需编程器,可远程升级等。

我们选用的是stc89c52型单片机, stc89c52的内核系列单片机引脚功能:

如图4.1

所示。

图4.1 内核系列单片机引脚

Vcc(40脚),GND(20脚)—单片机电源引脚,不同型号单片机接入对应电压电源,常压为+5V,低压为+3.3V。

XTAL1(19脚),XTAL2(18脚)—外接时钟引脚。XTAL1为片内振荡电路的输入端,XTAL2为片内振荡电路的输出端。

RST(9脚)—单片机的复位脚。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊

PSEN(29脚)—全称是程序存储器允许控制端。

ALE/PROG(30脚)—在单片机扩展外部RAM时,ALE用于控制把P0口的输出低8位地址送锁存器锁存起来,以实现地位地址和数据的隔离。

EA/Vpp(31脚)—EA接高电平时,单片机读取内部程序存储器[6]。

I/O口引脚—P0口,P1口,P2口,P3口。

1、P0口(39脚—32脚)—双向8位三态I/O口,每个口可独立控制。

2、P1口(1脚—8脚)—准双向8位I/O口,每个口可独立控制,内带上拉电阻,这种接口输入没有高阻状态,输入也不能锁存。

3、P2口(21脚—28脚)—准双向8位I/O口,每个口可独立控制,内带上拉电阻,与P1口相似。

4、P3口(10脚—17脚)—准双向8位I/O口,每个口可独立控制,内带上拉电阻,并且具有第二功能。

4.2总体电路设计

原理图见附录

4.3设计中遇到的问题及不足

在做完这个设计之后,发现还有很多地方存在不足,如Protel的不是很熟练。不过经过自己的努力,已经成功绘制出电路图,实现了电路的仿真。

此方案相比其他方案具有很多优点,用单片机编程较直接,用硬件电路搭建方便,通过STC89C52单片机编写程序,来控制LED的亮灭。这样可以大大简化系统结构,降低材料的成本。提高系统的先进性和可靠性,能实现控制器的系统编程。采用这种器件开发的数字系统其升级与改进极为方便。

单片机实现起来容易,如果通过其他的元件,比如用那些三极管、二极管、译码器,与非门等来实现。这样的方案的实现复杂,成本高,调试起来不容易成功,太过繁琐。

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

汽车车灯控制系统讲解

信息科学与技术学院微机原理与接口技术 课程设计报告 题目名称:汽车车灯控制系统 学生姓名:吴权权 学号: 2009082190 专业年级:计科09-1班 指导教师:裘祖旗 时间: 2012-1-12

目录 1.题目及要求 (1) 1.1 题目 (1) 1.2 要求 (1) 2.功能设计 (1) 2.1 汽车图形 (1) 2.2 汽车左转 (1) 2.3 汽车右转 (1) 2.4 汽车前进 (1) 2.5 汽车倒退 (1) 2.6 汽车停止 (1) 2.7 响铃模块 (1) 3.主流程图 (2) 4.详细设计 (3) 4.1 汽车图形显示 (3) 3.2 汽车停止、转向、倒车的指示 (3) 5.结果显示 (4) 5.总结 (7) 6、程序代码 (8)

1.题目及要求 1.1 题目 汽车车灯控制系统 1.2 要求 1)实现停止时的指示灯; 2)实现汽车转向时指示 3)实现倒车指示 4)扩展功能:实现倒车的声音提示 2.功能设计 2.1 汽车图形 功能:用汇编语言在dos下实现一个汽车的图形,和四盏灯。 2.2 汽车左转 功能:按’A’键,实现汽车的左转,左前、左后指示灯亮,右前、右后指示灯灭。 2.3 汽车右转 功能:按’D’键,实现汽车的右转,左前、左后指示灯灭,右前、右后指示灯亮。 2.4 汽车前进 功能:按’W’键,实现汽车的向前行驶,并且四盏指示灯全灭。 2.5 汽车倒退 功能:按’S’键,实现汽车的倒退行驶,并且后面2盏指示灯全亮,前面2盏指示灯全灭。 2.6 汽车停止 功能:按’B’键,实现汽车的停止,并且四盏指示灯全亮和倒车提示音。 2.7 响铃模块 功能:汽车停止时,提供倒车提示音。

汽车尾灯课程设计

综述 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节. 当今社会生活节奏快,交通拥挤,导致交通事故频繁发生,其中汽车追尾事件在交通事故中所占比重较大,追尾时间的产生主要是由于司机的疏忽以及无法把握前方车辆的运行的状况而导致的;而汽车尾灯控制电路的产生,恰好有利于缓解这一状况,通过对尾灯的控制,体现汽车在公路的上的行驶状态,即汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁。通过这一特点来提示后方车辆本车的行驶情况,有利于减少汽车追尾事件的发生,是一个值得普及的设计,而与此同时在此设计的基础上还可实现电路的拓展,例如加上被劫持报警装置等实用设备。 汽车尾灯控制电路如果在汽车领域广泛应用将有利于减少交通事故的发生。 1 总体逻辑结构 1.1汽车尾灯运行状态关系 根据课程设计任务书要求,分析汽车运行状态与尾灯关系可得如下关系表(表1-1)。其中J1,J2代表控制开关。 表1-1 汽车尾灯与汽车运行关系表 J2 J1 运行状态左尾灯右尾灯

0 0 1 1 0 1 1 正常行驶 右转弯 左转弯 紧急刹车 灭 灭 左尾灯循环闪烁 所有灯同时闪烁 灭 右尾灯循环闪烁 灭 所有灯同时闪烁 1.2汽车尾灯电路的逻辑电路关系 按照以上汽车的运行状态与尾灯关系分析总结,写出汽车尾灯正常行驶,左转弯,右转弯,紧急刹车时的二进制代码,以实现汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮;左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁的任务要求。其关系如下表(表1-2)。 表1-2汽车尾灯电路的逻辑关系表 开关控制二进制代码左尾灯右尾灯 J2 0 0 0 0 1 1 1 1 J1 1 1 1 1 Q1 X 1 1 X Q0 X 1 1 X D4 1 C L K D5 1 C L K D6 1 C L K D1 1 C L K D2 1 C L K D3 1 C L K

汽车尾灯控制器设计

齐鲁工业大学课程设计专用纸成绩 课程名称数字逻辑指导教师 院(系)信息学院专业班级 学生姓名仅作参考学号不谢设计日期 2014.7.2 课程设计题目汽车尾灯控制器设计 一、课程设计目的与任务 课程设计的目的:通过课程设计让学生进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则;提高学生的综合运用所学的理论知识,独立分析和解决问题的能力;让学生初步掌握对电子线路安装与调试等。 设计任务:设计一个汽车尾灯显示控制,实现对汽车尾灯状态的控制。 二、课程设计内容 1本设计题目的主要内容 本设计主要是用中、小规模集成电路设计一个汽车尾灯显示控制。在汽车尾部左右两侧各有3个指示灯(假定用发光二极管模拟),根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。②汽车向右转弯行驶时,右侧的三个指示灯按循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。 使用Multisim 2000进行仿真设计。 汽车尾灯显示控制的构成: (1)模式控制电路 (2)三进制计数器 (3)译码与显示驱动电路 (4)尾灯状态显示电路 2基本要求 (1)要求电路简单可靠,仿真结果基本正确。 (2)满足基本的设计要求,基本功能能够实现。 (3)提交课程设计报告。

3设计思想与总体构架 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。 假定用开关K1和K0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表所示。 开关控制汽车运行状 态6个发光二极管 K1K0D1 D2 D3D4 D5 D6 11正常运行灯灭灯灭 10右转弯 按D1、D2、D3顺 序循环点亮 灯灭 01左转弯灯灭 按D4、D5、D6顺序 循环点亮 00临时刹车所有尾灯同时按cp闪烁 该电路主要有三方面的要求,一时脉冲,二是汽车的行驶状态要与汽车尾灯的显示要对应,三是汽车尾灯的循环变亮。脉冲使用555定时器构成的多谐振荡器,通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态的对应,使用3进制计数器实现汽车尾灯的循环。 总体框架:

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

汽车尾灯控制电路设计论文资料

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 3.1 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机

汽车尾灯控制系统说明书

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ 目录 绪论 (2) 第1章设计系统的介绍 (3) 1.1 设计软件Multisim的介绍 (3) 1.2设计语言 C语言的介绍 (3) 第2章方案设计及规划 (4) 2.1 设计内容及要求 (4) 2.2设计方案分析及比较 (4) 2.4设计方案规划及设计(具体设计) (5) 第3章软件设计及仿真 (9) 第 4章电路的制作 (19) 第5章心得体会 (21) 主要元器件清单 (22) 参考文献 (23)

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ 绪论 汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也已从过去的全人工开关控制发展到了智能化控制。在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性。难以满足现代汽车的智能化发展[8]。 随着(EDA)仿真技术的发展,数字系统的设计技术和设计工具发生了深刻的变化。利用硬件描述语言对数字系统的硬件电路进行描述是EDA的关键技术之一。C语言是目前主流的硬件描述语言,它具有很强的电路描述和建模能力,且有与具体硬件电路无关和与设计平台无关的特性.在语言易读性和层次化结构设计方面表现出强大的生命力和应用潜力。 本文采用先进的EDA技术,设计了一种基于FPGA的汽车尾灯控制系统.并对系统进行了仿真及验证。用一片FPGA芯片实现从而大大简化了系统结构,降低了成本。提高了系统的先进性和可靠性,能实现控制器的在系统编程。采用这种器件开发的数字系统其升级与改进极为方便。LED(1ight emitting diode,发光二极管)由于其具备体积小、寿命长、低能耗、耐震动、无频闪及反应速度快等优点已成为备受瞩目的新一代车灯光源技术。目前通用的汽车尾灯光源仍然是白炽灯和节能灯占主导地位,加上红、黄等配光透镜实现配光要求,缺点是易损坏、耗电量大、寿命短、激励响应时间长,给道路交通带来安全隐患等。现有的LED汽车尾灯主要有两种:一种是用多个LED密布于灯壳内直接经配光透镜配光,其缺点是用了多颗LED或者用大功率LED,成本高;另外一种是将LED排布成平面或者柱状置于灯壳内,经自由曲面反射腔配光或自由曲面反射腔和配光透镜联台配光,其缺点是自由曲面反射腔制作工艺复杂。现针对目前LED汽车尾灯配光困难、体积大等缺陷,有效利用LED光源体积小、亮度高等特点,设计出一种节能、高效的新型组合式LED汽车尾灯。

汽车尾灯课程设计++VHDL++EDAgrx

《2011至尊恋爱秘籍》男人幸福必备! 目录 1.引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 1.3 EDA的介绍 (1) 1.3.1 EDA技术的概念 (1) 1.3.2 EDA技术的特点 (2) 1.3.3 EDA设计流程 (2) 1.4硬件描述语言(VHDL) (2) 1.4.1 VHDL的介绍 (2) 1.4.2 VHDL语言的特点 (3) 2.总体设计 (4) 2.1需求分析 (4) 2.2汽车尾灯控制器的工作原理 (4) 2.3 汽车运行状态表和总体框图 (5) 3.详细设计 (6) 3.1各组成模块 (6) 3.2时钟分频模块 (6) 3.3 汽车尾灯主控模块 (6) 3.4左边灯控制模块 (7) 3.5右边灯控制模块 (9) 4.系统仿真与调试 (10) 4.1分频模块仿真及分析 (10) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (11) 4.5整个系统仿真及分析 (12) 4.6 总体设计电路图 (12) 总结 (13) 参考文献 (14)

1.引言 随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。 1.1设计的目的 本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。 1.2设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 1.3 EDA的介绍 1.3.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

汽车尾灯控制电路

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 [6] . 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 设计方案 (2) 汽车尾灯电路实际设计要求 (2) 设计原理及原理框图 (2) 2 单元电路设计 (2) 时钟脉冲电路 (2) 开关控制电路 (4) 三进制计数器 (5) 译码、显示驱动电路 (6) 3 性能测试与仿真 (7) 仿真软件的简单介绍 (7) Protel 99SE简单介绍 (7) IN Multisim10简单介绍 (8) 利用Multisim仿真与测试 (9) 原理图(SCH)和电路板(PCB) (14) 4结论 (15) 参考文献 (17) 摘要 汽车行驶时会有正常行驶、左转弯、右转弯和刹车四种情况,针对这四种情况

数电课程设计《汽车尾灯控制系统》

课程设计报告 设计题目:汽车尾灯控制系统班级:计算机1206班 学号: 2012XXX 姓名: XXX 指导教师:马学文 设计时间: 2014年8月

摘要 在现代飞速发展的现代化社会背景下,汽车这一高科技产物越来越多地被人们使用,但也由此造成了一系列的问题,比如,由于汽车的突然转向所引发的车祸常出现。如果汽车转弯时能够通过尾灯状态的变化来提示司机,行人汽车转弯,就可减少车祸发生。因此,汽车尾灯就起到了一种信号、警示、标志的作用,也是司机在行车途中必须注意的。本次实验报告是关于取车尾灯控制系统的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路有三进制计数器、译码与显示驱动电路、尾灯状态显示电路、开关控制电路4个部分组成。分析使能控制信号与公模控制变量与时钟脉冲的关系,555定时器、3线—8线译码器实现了根据汽车运行状态指示显示4种不同的状态模式。本次报告详细讲解了该系统的设计思路及其具体的实现过程。 关键词: 计数器、译码器、定时器、时钟脉冲

目录 摘要 2 第1章概述4第2章课程设计任务及要求4 2.1 设计任务 4 2.2 设计要求 4 第3章系统设计4 3.1方案论证 4 3.2 系统设计 5 3.2.1 结构框图及说明 5 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择10 第4章软件仿真11 4.1 仿真电路图11 4.2 仿真过程13 4.2 仿真结果15 第5章安装调试17 5.2 安装调试过程17 5.3 故障分析17 第6章结论18第7章使用仪器设备清单19参考文献19 收获、体会和建议20

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

汽车车灯控制系统DOC

信息科学与技术学院微机原理与接口技术课程设计报告 题目名称:汽车车灯控制系统

目录 1.题目及要求 (1) 1.1 题目 (1) 1.2 要求 (1) 2.功能设计 (1) 2.1 汽车图形 (1) 2.2 汽车左转 (1) 2.3 汽车右转 (1) 2.4 汽车前进 (1) 2.5 汽车倒退 (1) 2.6 汽车停止 (1) 2.7 响铃模块 (1) 3.主流程图 (2) 4.详细设计 (3) 4.1 汽车图形显示 (3) 3.2 汽车停止、转向、倒车的指示 (3) 5.结果显示 (4) 5.总结 (7) 6、程序代码 (8)

1.题目及要求 1.1 题目 汽车车灯控制系统 1.2 要求 1)实现停止时的指示灯; 2)实现汽车转向时指示 3)实现倒车指示 4)扩展功能:实现倒车的声音提示 2.功能设计 2.1 汽车图形 功能:用汇编语言在dos下实现一个汽车的图形,和四盏灯。 2.2 汽车左转 功能:按’A’键,实现汽车的左转,左前、左后指示灯亮,右前、右后指示灯灭。 2.3 汽车右转 功能:按’D’键,实现汽车的右转,左前、左后指示灯灭,右前、右后指示灯亮。 2.4 汽车前进 功能:按’W’键,实现汽车的向前行驶,并且四盏指示灯全灭。 2.5 汽车倒退 功能:按’S’键,实现汽车的倒退行驶,并且后面2盏指示灯全亮,前面2盏指示灯全灭。 2.6 汽车停止 功能:按’B’键,实现汽车的停止,并且四盏指示灯全亮和倒车提示音。 2.7 响铃模块 功能:汽车停止时,提供倒车提示音。

3.主流程图 No Yes Yes RET No Yes RET No Yes RET No Yes RET No Yes RET No 非定义字符 RET Yes 开始 与W 比较 有无按健 退出 等待 与A 比较 与D 比较 调用DRAW_W 调用DRAW_A 调用DRAW_D 与S 比较 调用DRAW_S 和响铃函数 与B 比较 调用STOP 与空格比较

汽车尾灯课程设计

目录 一、设计课题任务和要求 (2) 二、总体方案选择的论证 (2) 三、单元电路的设计 (4) 四、总体电路图、功能单元电路图 (6) 五、组装与调试 (9) 六、所设计电路的特点以及改进意见 (11) 七、所用元器件的编号列表 (11) 八、参考文献 (11) 九、收获、体会和建议 (12) 十、附录 (12) 一、设计课题任务和要求 本课题设计一个汽车LED尾灯的控制器电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯点亮。 当接通检查电键时,汽车所有的尾灯同时闪烁(0.5—1S/次)。 二、总体方案选择的论证 为了区分汽车尾灯的4种不同的显示模式,我们设置4个状态控制变量。假定用开关K1、K2、K3、K4进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表1所示。

1 0 1 1 右转弯熄灭 按D4、D5、D6顺序 循环点亮 1 1 0 1 刹车同时点亮同时点亮 1 1 1 0 检查同时闪烁同时闪烁 在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量K1、K0,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示(表中指示灯的 开关计数器状态汽车尾灯状态 K1 K2 K3 K4 Q1 Q0 D1 D2 D3 D4 D5 D6 0 1 1 1 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 1 1 0 1 - - 1 1 1 1 1 1 1 1 1 0 - - CP CP CP CP CP CP 表 2 汽车尾灯控制器功能表 根据以上设计分析与功能描述,可以得出汽车尾灯控制器的结构框图,如图1所示。

汽车尾灯控制器设计完整版

1、Proteus简介 1.1概述 Proteus 软件是英国Labcenter electronics 公司出版的EDA工具软件(该软 件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿 真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工 具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿 真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PC B设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、 PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、H C11、PIC10/12/16/18/24/30/DsPIC33 、AVR ARM 8086 和MSP430等,2010 年即 将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面, 它也支持IAR、Keil和MPLAB等多种编译器。 1.2具有四大功能模块: 1.2.1智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间; 支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 可输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WOR D POWERPOIN等多种文档使用。 122完善的电路仿真功能(Prospice ) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿直. 超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

相关文档
最新文档