4位数值比较器设计

4位数值比较器设计
4位数值比较器设计

电子技术课程设计报告题目: 4位数值比较器设计

学生姓名:

学生学号:

年级:

专业:

班级:

指导教师:

机械与电气工程学院制

2016年11月

4位数值比较器设计

机械与电气工程学院:自动化专业

1.课程设计的任务与要求

1.1 课程设计的任务

采用Multisim 12.0软件实现4位数值比较器的设计与仿真。

1.2 课程设计的要求

(1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。

(2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。

2.四位数值比较器设计方案制定

2.1 四位数值比较器工作的原理

对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:

FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1)FAB、IAB、IAB=IA

2.2 四位数值比较器设计方案

四位数值比较器主要由一个74LS85和三个信号灯组成。通过四个开关的开通和闭合接收用户输入。通过信号灯的亮与不亮来判断数值得大小。黄灯亮表示A>B ;红灯亮表示A

图1 四位数值比较器电路组成框图

3.四位数值比较器设计的技术方案

3.1四位数值比较器单元模块功能及电路设计

3.1.1输入电路设计

输入电路设计采用单刀双掷开关。单刀双掷开关的由动端和不动端组成,动端就是所谓的“刀”,它应该连接电源的进线,也就是来电的一端,一般也是与开关的手柄相连的一端;另外的两端就是电源输出的两端,也就是所谓的不动端,它们是与用电设备相连的。它的作用,一是可以控制电源向两个不同的方向输出,也就是说可以用来控制两台设备,或者也可以控制同一台设备作转换运转方向使用。

在这个电路中单刀双掷开关控制高低电平,从而控制输入端,使得A 、B

平变化,实现四位数值比较。

图2 输入电路设计

3.1.2 信号输出电路设计

信号灯里面装有一个传感器,当信号灯发出去的光被镜面反射回来时,传感器接收到信号,你能保证信号灯亮的,只要有一点光接受就可以,所以只要不完全挡住灯都会亮。

图3 输出电路设计

3.2主要芯片介绍

3.2.1 74L85D芯片

74LS85芯片是四位数值比较器,共有54/7485、54/74S85、54/74LS85三种线路结构型式。74LS85D可进行二进制码和BCD码的对比,对两个4位字的比较结果有三个输出端(A>B,A=B,A

图4 74LS85D芯片

图5 75Ls85D功能结构电路设计图

表1 四位数值比较器真值表

3.3、四位数值比较器整体电路原理图

图6 四位数值比较器整体电路原理图

3.4元器件清单

表3 元器件清单

4 四位数值比较器设计的仿真实现

4.1仿真软件的介绍

本次课程设计采用Multisim 12.0来实现数字电子钟的仿真,它是电子线路分析与设计的优秀仿真软件,其界面直观、操作方便,创建电路需要的元件和电路仿真需要的测量仪器都可以以直接从屏幕抓取,且元件和仪器的图形与实物外

形接近。Multisim 12.0已经成为电子技术领域进行教学、学习和实验的必不可少的辅助软件,是每一个电子技术爱好者、学习者和工程技术人员必须掌握的工具软件之一,Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

4.2 四位数值比较器设计仿真实现

四位数值比较器未仿真实现,在仿真软件中的状态,图7所示。

图7四位数值比较器未开始

开关S1接通时,A3为高电势端,B3为低电势端,即A>B。因此黄灯亮,如图8所示。

图8 A>B的情况

开关Key、Key2接通,开关S3、S4接通时,A3、B3为低电势端;A2、B2为低电势端;A1、B1为高电势端,即A=B。因此红灯亮,如图9所示。

图9 A=B的情况

开关Key1、Key2、Key3、Key4接通时,A3、B3为低电势端,A2、B2为低电势端,A1为低电势端,B1为高电势端,即A

图10 A

5 总结及心得体会

本次数电的课程设计加深了我对Multisim软件的进一步深入理解。熟悉了该软件的元器件的查找,绘图及仿真,为我以后更深层次的学习奠定了良好的基础。通过这次课程设计,使我受益颇多。了解到课程实习设计是开端,连接是关键,测试是必须。既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,解决问题,终于完成了四位数值比较器的仿真。

对于数字电路设计,尤其在使用Multism进行逻辑电路的连接与分析时,这种分析解决问题的能力就更为重要。要在复杂的电子器件和密密麻麻的连线中找出头绪来,有时候并不是一件容易的事情。就是在这种不断发现问题、分析问题、

解决问题的过程中,我提高了自己分析解决问题的能力,因此,我把这次课程设计看成一次综合学习的机会。

在设计过程中,出现了各种各样的问题,有些是单一原因引起的,有的是综合原因引起的,这些都很考验我的毅力与坚持。但是我掌握了研究这类问题的方法,即问题解决的过程就是要从问题所表现出来的情况出发,通过反复推敲,作出相应判断,逐步找出问题的症结所在,从而一举击破。本次课程设计仍然存在一些不够完美的地方,例如在Multism环境下设计逻辑电路时,怎样更好地布局以及连线,使整个电路图更加清晰明了等等问题,虽然是细节问题,但往往可以决定成败,这些问题都应该引以为戒并作为以后设计相关电路时的参考。

在本次课程设计中,我反复的练习各项操作,在练习的同时,我不断地巩固,熟能生巧,我最后将此次课程设计完成的非常好。这对我以后学习相关的课程以及进行更高层次的数字电路设计都奠定了不错的基础,我相信无论做什么事情都要坚持、细心,不放弃,遇到困难要去解决,一个人解决不了,要敢于不耻下问,需求他人的帮助,这样我们可以在一次次困难中成长,这样就一定会实现自己的目标。

6 参考文献

[1] 韩广兴等电子元器件与实用电路基础 [M]

2004年1月

[2] 蓝和慧宁武等全国大学生电子设计竞赛单片机应用技能精解 [M]

2009年4月

[3] 康光华.北京[M]

出版社.2004 .

[4] 康光华.[M].2004

[5] 赵春华.[M].1998

[6] 阎石.数字电子技术基础[J].电气类,2006.05

[7] 童诗白.模拟电子技术基础[J].电气类,2006.05

[8] 傅劲松.《电子制作实例集锦》[M].福建科技技术出版社,2006.

[9] 廖先芸.《电子技术实践与训练》[M].高等教育出版社,2000.

[10] 孙梅升.《电子技术基础课程设计》[M].高等教育出版社,2003.

[11] 康华光,《电子技术基础数字部分(第五版)》,北京,高等教育出

版社,2006年

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

电子综合课程设计报告

课程设计任务书姓名学号 班级学院 课程电子技术综合 题目简易信号发生器和简易频率计 设计任 务 1.设计一个的正弦波、方波和三角波发生器: (1) 频率可调范围:2Hz—20KHz,分为4档: 2—20Hz;20—200Hz;200Hz—2KHz;2—20KHz; (2) 幅度可调范围:0—5V; (3) 可调偏置。 2.设计一个简易数字频率计: (1) 可测量信号频率范围:1~100 KHz,显示单位为Hz; (2) 输入电压幅度VPP:100mV—10V; (3) 输入信号波形:任意周期信号; (4)显示方式: 6位十进制数显示。 时间进 度第17、18周 2010.12.27-2011.1.7 星期一、二布置设计方案、预设计及验收星期三、四、五计算机仿真及仿真结果验收星期一上午发放元器件、领取工具 星期一下午焊接 星期二、三、四安装、调试、教师验收 星期周五打印图纸、写设计报告 主要参考资料1.康华光。电子技术基础数字部分(第五版)。北京:高等教育出版社,2006; 2.康华光。电子技术基础模拟部分(第五版)。北京:高等教育出版社,2006; 3.电子技术(下)实验指导书,中原工学院电子技术课程组自编,2011;

目录 一、摘要 (2) 二、设计原理 (3) 2.1 简易信号发生器的基本原理 (3) 2.2 数字频率计的基本原理 (5) 三、方案设计 (9) 四、电路仿真 (10) 4.1 简易信号发生器电路仿真 (10) 4.2 数字频率计 (15) 五、电路焊接与调试 (17) 六、心得体会 (20) 附录一:参考文献 (22) 附录二:元器件表 (23) 附录三:原理图 (28)

4位数值比较器设计

电了技术课程设计报告题目: 4 位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1课程设计的任务 采用Multisim 12.0 软件实现4位数值比较器的设计与仿真。 1.2课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74LS85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A(与B3B2B1B(进行比较。从A的最高位A3和 B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3则再比较次高位A2=B2余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+F A3=B3FA2=B2FA仁B1FA0=B0IA>B (2-1) FAB、IAB、IAvB、IA=B进行适当处理,IA>B=IA

红外线计件器课程设计报告书(DOC)

课程设计任务书 题目红外计件器 系(部) 信息科学与电气工程学院 专业自动化 班级101 学生姓名崔保昌 学号100819622 6 月11 日至 6 月15 日共 1 周 指导教师(签字) 系主任(签字) 2012年 6 月17日

数码管显示模块 红外检测模块计数器模块 失落脉冲检测模块蜂鸣器模块 四、进程安排 教学内容学时地点 资料查阅与学习讨论 1天现代电子技术实验室分散设计 2天现代电子技术实验室

摘要 随着科学技术的迅速发展,红外计件器已被应用于生活中的方方面面。如生产流水线,数据采集生产工位的工作量计数统计,停车场车位计数,旅游景点、超市、娱乐场所等的人数自动统计,工厂自动化控制系统等。 本文设计并制作一个简易的红外计件器,当“货物”从收发模块经过后,数码管显示数值加1;当有“货物”通过红外检测电路时,红外检测电路输出检测脉冲,若2 秒内没有“物”通过红外收发模块,即红外检测电路输出脉冲失落时,蜂鸣器报警;计件过程中,可通按键随时清除计数数据。 关键词:红外检测,NE555,计数模块,蜂鸣报警

Abstract Along with the rapid development of science and technology, the infrared piece has been used in all aspects of life. Such as production line, data collection production location count workload statistics, parking lot counter, tourist attractions, the supermarket, the number of entertainment to statistic, factory automation control system, etc. In this paper a simple design and production of the infrared piece, when "goods" from transceiver module after, digital pipe display numerical add 1; When there is "goods" through the infrared detection circuit, infrared detection circuit testing pulse output, if 2 seconds no "the thing" through the infrared transceiver module, namely the infrared detection circuit output pulse lose, a buzzer alarm; In the process of piece, can connect button clear count data at any time Keywords: infrared detection, NE555, counting module, hum, call the police

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

电子技术课程设计典型题目

附录 电子技术课程设计典型题目 可编程直流电源设计 简要说明: 在自动控制系统中,有时需要一种由计算机指令设置输出电压大小的直流稳压电源,通常将这种电源称为可编程直流稳压电源。它的输出电压V O 与计算机送到特定地址的数据N 之函数关系是 kN V O = 其中k 是比例系数。 计算机的输出指令可用数码锁存器代替。 为了避免因稳压电路故障而损坏计算机,可用光电耦合器实现电隔离。 设计任务和要求: 1.当数码寄存器给出一个数据N 后,该电源的输出电压值为 N V O 10 1= 其中N 是8位的BCD 码数据,即N 是小于100的正整数或零,V 0的单位为伏特。 2.在数码寄存器送出新的数据以前,该电源的输出电压变化量之绝对值不超过20mV ,其条件是: ⑴ 交流电网电压的有效值在190V 至250V 范围内; ⑵ 输出电流在0至100mA 范围内; ⑶ 环境温度在100C 至350C 范围内; 3.输出电压的实际值与按N V O 10 1=计算得出的理论值之误码差的绝对值不超过 0.1V ; 温度测量与控制电路设计 1.设计任务与要求 在工农业生产和科学研究中,经常需要对某一系统的温度进行测量,并能自动地控制、调节该系统的温度。 要求: ⑴ 被测温度和控制温度均可数字显示; ⑵ 测量温度为0~1200C ,精度为±0.50C ; ⑶ 控制温度连续可调,精度±1O C ; ⑷ 温度超过额定值时,产生声、光报警信号。 2.总体方案设计 设计思路 (1)对温度进行测量、控制并显示,首先必须将温度的度数(非电量)转换成电量,然后采用电子电路实现题目要求。可采用温度传感器,将温度变化转换成相应的电信号,并通过放大、滤波后送A/D 转换器变成数字信号,然后进行译码显示。 (2)恒温控制:将要控制的温度所对应的电压值作为基准电压V REF ,用实际测量值I v 与V REF 进行比较,比较结果(输出状态)自动地控制、调节系统温度。 (3)报警部分:设定被控温度对应的最大允许值V max ,当系统实际温度达到此对应值V max 时,发生报警信号。

Candence课程设计——2位数值比较器

集成电路设计论文 论文题目:2位数值比较器 姓名:陈英文 学号:1020630126 学院:机械与电子工程学院专业:电子科学与技术 班级:10206301 指导教师:蔡老师

一、课程实验设计目的 本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(A

逻辑符号示意框图: Y(A>B) Y(A=B) Y(AB)Y(A=B)Y(A

2.3 逻辑图如下所示: 3. 2位数值比较器 3.1 定义:比较两个2 位二进制数的大小的电路 3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。 3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B0

数电课程设计心得题目汇总【模版】

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

课程设计电子骰子

~ 电子技术课程设计 报告 设计题目:电子骰子 姓名: 徐兵 学院:信息工程学院 。 专业: 通信工程 班级:通信0902 学号: } 2011年6月17日

目录 一、电子骰子设计目的及要求 (2) 二、电子骰子功能设计分析 (2) 三、电路设计基本原理及主要件 (2) ¥ 1. 原理设计框图 (2) 2. 设计原理说明 (2) 3. 555定时器简介 (3) 4. 555定时器的组成和功能 (3) 5. NE555的特点 (4) 6. CD4017功能简述 (5) 7. CD4017引脚图 (5) 8. CD4017原理图 (6) < 四、总体设计仿真图、原理图及PCB板图 (9) 1. 电子骰子仿真图 (9) 1. 电子骰子原理图 (9) 2. 电子骰子PCB板图 (10) 五、总结与体会 (11) 1. 总结 (11) 2. 体会 (11)

六、参考文献 (11) , 一、电子骰子设计目的及要求 1.电子骰子每按下开关一次的显示结果会在1~6数字中随机产生,以代替普通骰子。 2.总体要求用开关控制显示对应的数字。 二、电子骰子功能设计分析 分析:(1)为了节约成本,我决定用发光二极管来替代数码管,亮灯的个数即为显示的数字,即是表示骰子的点数。 ' (2)用一按键开关,按下之后发光二极管会随机的变亮。 三、电路设计基本原理及主要器件 1. 原理设计框图: & 设计原理框图 2. 设计原理说明:

该电子骰子电路由时钟发生电路和功能显示电路两部分组成。以集成电路NE555为核心器件构成自激多谐振荡器。当电源开关闭合时,电源通过电阻R1和R2向电容器C1充电。当C1刚充电时,由于555的②脚处于低电平,故输出端③脚呈高电平;当电源经R1、R2 向C1充电到2/3电源电压时,输出端③脚电平由高变低,555内部放电管导通,电容C1经R2向555的⑦脚放电,直至C1两端电压低于1/3电源电压时,555的③脚又由低电平变为高电平,C1又再次充电,如此循环工作,形成振荡。555的频率可以通过改变电阻R2 的阻止而改变,其时钟输出直接进入4017的14脚,CD4017对振荡计数, 三极管BC557导通,这样来驱动7个LED负载,使其随机点亮。由于通电时,对电容充电的时间和充电电流是随机的,所以最终显示结果也是随机的。 3. 555定时器简介 555定时器(又称时基电路)是一个模拟与数字混合型的集成电路。按其工艺分双极型和CMOS型两类,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成多谐振荡器。因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。 ! 4. 555定时器的组成和功能 下图是555定时器内部组成框图。

4位数值比较器设计

电子技术课程设计报告题目: 4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1)FAB、IAB、IAB=IA

4位输入数据的一般数值比较器电路设计

课程设计报告 课程名称数字逻辑课程设计 课题4位输入数据的一般数值的比较 电路的设计 专业计算机科学与技术 班级计算机1202 学号

姓名周逢露 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题4位输入数据的一般数值 比较电路的设计 专业班级计算机科学与技术

学生姓名周逢露 学号201203010202 指导老师刘洞波陈淑红陈多 审批刘洞波 任务书下达日期:2013年12月13日任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1EDA技术与VHDL程序 开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22416-72010TP312VH/36 2VHDL电路设计雷伏容清华大学出版 社 7-302-14226-22006TN702/185 3VHDL 电路设计技术王道宪贺名臣 刘伟 国防工业出版 社 7-118-03352-92004TN702/62 4VHDL 实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/1 5VHDL 语言100 例详解北京理工大学 ASIC研究所 7-9006257-900625-02-X1999TP312VH/3 6VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9200073.9621/W38V

单片机课程设计电阻测量(完整版)

课程设计报告课程名称:单片机课程设计 设计题目:电阻测量 院系:通信与控制工程系 专业:通信工程 班级: 学生姓名: 学号: 08409212 起止日期: 指导教师: 教研室主任:

摘要 本设计电阻测量是利用A/D转换原理,将被测模拟量转换成数字量,并用数字方式显示测量结果的电子测量仪表。通常测量电阻都采用大规模的A/D转换集成电路,测量精度高,读数方便,在体积、重量、耗电、稳定性及可靠性等方面性能指标均明显优于指针式万用表。其中,A/D转换器将输入的模拟量转换成数字量,逻辑控制电路产生控制信号,按规定的时序将A/D转换器中各组模拟开关接通或断开,保证A/D 转换正常进行。A/D转换结果通过计数译码电路变换成BCD码,最后驱动显示器显示相应的数值。本系统以单片机AT89C52为系统的控制核心,结合A/D转换芯片ADC0809设计一个电阻测量表,能够测量一定数值之间的电阻值,通过四位数码显示。具有读数据准确,测量方便的特点。 关键词:单片机(AT89C52);电压;A/D转换;ADC0809

目录 设计要求 (1) 1、方案论证与对比 (1) 1.1方案一 (1) 1.2方案二 (1) 1.3方案对比与比较................................... 错误!未定义书签。 2、系统硬件电路的设计 (2) 2.1振荡电路模块 (2) 2.2A/D转换电路模块 (3) 2.2.1主要性能 (3) 2.2.2 ADC0809芯片的组成原理 (4) 2.2.3 ADC0809引脚功能 (4) 2.3主控芯片AT89C52模块 (5) 2.3.1主要功能特性 (6) 2.3.2 主要引脚功能 (6) 2.4显示控制电路的设计及原理 (8) 3、程序设计 (9) 3.1初始化程序 (9) 3.2主程序 (10) 3.3显示子程序 (10) 3.4A/D转换测量子程序 (11) 4、调试及性能分析 (11) 4.1调试与测试 (11) 4.2性能分析 (12) 5、元件清单 (13) 6、总结与思考及致谢............................... 错误!未定义书签。参考文献. (13)

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

数字时钟课程设计

数字电路课程设计 多 功 能 数 字 时 钟 专业班级:15电子1班 学号:201550110124 设计人:吕浩杰 指导教师:许春香 设计时间:2016年6月6日

内容摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于机械震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装臵。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED 数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习和掌握各种组合逻辑电路和时序电路的原理和使用方法。

4位数值比较器

X X大学课程设计 题目 4位数值比较器 学院 X学院 专业 XXX 班级 XXX 学生 XXX 学号 200000000 指导教师 XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1 前言.................................................................. ................ .. (3) 1.1CMOS组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 24位数比较器 (7) 2.1 原理框图 (7) 2.2 逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.2 4位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

模拟cmos集成电路-2位数值比较器

WORD格式模拟CMOS集成电路课程设计 题目:二位数值比较器 专业:电子科学与技术 班级: 学号: 姓名: 指导老师: 完成时间:2014年月日

目录 一.设计目标 二.一位数值比较器的设计 三.二位数值比较器的设计 四.原理图的绘制及电路的仿真 五.实验电路的版图设计 六.实验心得

一.设计目标 本次课程设计把重点放在电路的设计、制作和仿真,以及版图的设计。熟悉在UNIX系统下Cadence软件的使用, 掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(AB)Y(A=B)Y(A

10100 11010 3.根真值表可写出逻辑函数表达式为4逻辑图如下所示:

三.二位数值比较器的设计 1.二位数值比较器 二位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。为了减少符号的种类,不再使用字 母L,而以(Ai>Bi)、(AiB1)=0和(A1

4位数值比较器

X X大学课程设计 题目4位数值比较器 学院X学院 专业XXX 班级XXX 学生XXX 学号200000000 指导教师XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1前言.................................................................. ................ .. (3) 1.1C M O S组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 2 4位数比较器 (7) 2.1 原理框图 (7) 2.2逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.24位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

课程设计表决器数字电路设计

表决器电路课程设计 电气与信息工程学院 电气工程及其自动化专业 题目:表决器电路设计 姓名:xxx 学号:181413214 指导教师:何国锋 时间:2015年 6 月22 日~ 2015年 6 月26日

题目表决器电路设计 摘要 随着社会的飞速发展,科学技术的应用已经渗入到社会的各个领域。目前,各领域的激烈竞争迫使人们不得不对办事效率格外重视,同时,这也是方便、高效的社会发展趋势之必需。会议表决方面亦是如此。表决器所具有的功能使它成为这方面当之无愧的选择。本设计正是关于多数表决器的设计,用于各种场合的投票选举。 设计目的: 1、进一步掌握组合逻辑电路和时序逻辑电路的分析设计方法,巩 固课堂上学到的知识; 2、学习对原有电路进行改进的方法,使电路在设计上逻辑更合 理,更人性化; 3、掌握一些常见的数字电路芯片的使用方法; 4、通过对电路进行改进的实践,培养创新意识。 主要内容如下: 1、用于十人以下会议表决,半数人以上同意通过; 2、考虑弃权情况,有四人以上弃权推迟会议再议; 3、根据表决情况显示“否决、通过、再议”字样; 4、显示方式自己设计。 主要方法: 将各种元器件通过逻辑门按电路图有效连接起来,投票信号由脉冲显示,通过线路输入到计数器中进行累加计算,将经过计算后的结果传送到数值比较器中,根据与比较器中事先设置的数值进行比较,最后输出投票结果。 取得结果:

同意人数大于4即过半,绿灯亮,会议通过;反对人数大于4即过半,红灯亮,会议被否决;弃权人数过半,黄灯亮,推迟再议。七段显示译码器分别将“同意”、“反对”、“弃权”的人数显示出来。 目录 1 概述 1.1 本人所做工作 1.2 内容简述 1.3 原理框图 2 系统总体方案及硬件设计 2.1 初步构思 2.2最终设计 2.3硬件设计 2.4实际设计实施单元电路的设计,元器件选择电路原理图 3 使用说明 3.1 使用说明 4 课程设计体会 4.1心得体会 参考文献 1 概述 1.1 本人所做工作 在刚开始的设计时,我有些松懈,因为刚看到题目,觉得特别简单,认真看了要求过后发现做起来有些困难,在组长的安排下一些组员分别去不同的地方查阅资料,同时留下一部分组员仔细阅读题目及要求,并仔细查找书本上最为相近的知识,联系所学内容,尽力做到全面出击,为下一步的设计铺平道路,使工作可以有效、高速地展开。最后我们整体讨论出三种实施方案,并把这三种方案告诉了程英老师,最后在程英老师的指导下,我们最终确立了现在的方案,我被安排解决输出部分的电路,考虑到这个题目的实际情况,票数需要显示

时序比较器课程设计报告

课程设计报告 课程名称:电子技术课程设计 题目:时序比较器 学院:系: 专业班级: 学号: 学生姓名: 起讫日期:2013-6-24——2013-7-1 指导教师: 学院审核(签名): 审核日期:

目录 第一章技术指标 (4) 1.1 整体功能要求 (4) 1.2 系统的结构要求 (4) 1.3 电气指标 (4) 1.4 设计条件 (5) 第二章整体电路的设计 (5) 2.1 设计原理 (5) 2.1.1 数据处理器的功能 (6) 2.1.2 控制器的功能 (6) 2.1.3 显示电路 (6) 2.2 建立算法流程图 (7) 2.2.1 算法流程图 (7) 2.2.2 ASM图 (7) 2.3 建立处理器的明细表 (9) 2.3.1建立明细表的分析 (10) 2.3.2 寄存器 (10) 一, A寄存器 (10) 二, B寄存器 (11) 三,CNT寄存器 (13) 2.3.3 比较器 (14) 2.3.4 数据选择器 (16) 2.3.5译码显示电路 (17) 2.3.6分频器 (18) 2.4控制器设计 (19) 2.4.1方案选择 (19) 2.4.2求激励函数 (19) 2.4.3控制器发出的命令 (19) 2.4.4外部发出的命令 (19) 2.4.5发光二极管的逻辑表达式 (20) 2.4.6画图 (20) 2.4.7仿真........................................................................................ 错误!未定义书签。 2.4.8分析仿真结果 (22) 2.4.9结论 (23) 第三章顶层图 ............ 错误!未定义书签。 3.1分频器图........................................................................................... 错误!未定义书签。 3.2 处理器图.......................................................................................... 错误!未定义书签。 3.3 控制器图.......................................................................................... 错误!未定义书签。 3.4 整体图............................................................................................ 错误!未定义书签。 3.4.1整体图.................................................................................... 错误!未定义书签。

相关文档
最新文档