4位输入数据的一般数值比较器电路设计

4位输入数据的一般数值比较器电路设计
4位输入数据的一般数值比较器电路设计

课程设计报告

课程名称数字逻辑课程设计

课题4位输入数据的一般数值的比较

电路的设计

专业计算机科学与技术

班级计算机1202

学号 2

姓名周逢露

指导教师刘洞波陈淑红陈多

2013年12月13日

?

课程设计任务书

课程名称数字逻辑课程设计

课题4位输入数据的一般数值

比较电路的设计

专业班级计算机科学与技术

学生姓名周逢露

学号2

指导老师刘洞波陈淑红陈多

审批刘洞波

任务书下达日期:2013年12月13日

任务完成日期: 2014年01月21日

一、设计内容与设计要求

1.设计内容:

本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilo gHDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用QuartusII进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。

题目一4线-16线译码器电路设计;

题目二16选1选择器电路设计;

题目三4位输入数据的一般数值比较器电路设计

题目四10线-4线优先编码器的设计

题目五8位全加器的设计

题目六RS触发器的设计;

题目七JK触发器的设计;

题目八D触发器的设计;

题目九十进制同步计数器的设计;

题目十T触发器的设计;

每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。

参考书目

1 EDA技术与VHDL程

序开发基础教程

雷伏容,李俊,尹

清华大学出版

978-7-302-224

16-7

20

10

TP312VH/36

2 VHDL电路设计雷伏容清华大学出版

7-302-14226-22006 TN702/185

3 VHDL电路设计技术王道宪贺名臣

刘伟

国防工业出版

7-118-03352-9

200

4

TN702/62

VHDL实用技术潘松,王国栋7-81065 7-81065-290-7200

TP312VH/1

5 VHDL语言100 例详

北京理工大学AS

IC研究所

7-900625 7-900625-02-X

999

TP312VH/3

6 VHDL编程与仿真王毅平等人民邮电出版

7-115-08641-9 2000

73.9621/W

38V

●课程设计报告规范

课程设计报告应包含如下几个部分

1)功能描述

说明设计器件的功能,包括真值表(功能表),函数表达式,逻辑电路图

2) 详细设计

按照VHDL语言开发流程写出整个开发的详细过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。

基本设计流程如下:

①工程管理:新建工程,工程管理;

②源文件输入:VHDL程序或者原理图的设计,内嵌模块的调用;

③综合、编译:检查语法,连接错误,生成综合后网表;

④功能仿真:综合后的功能仿真;

⑤简单约束:管脚分配,I/O特性约束,简单的时序约束;

⑥全编译:软件自动完成布局布线,生成最终编程文件;

⑦时序仿真:带延时的和实际情况非常接近的时序仿真;

⑧编程:下载到硬件当中。

3)调试分析以及设计体会

a.仿真或程序下载调试(附界面截图)。

b.设计过程中遇到的问题以及解决问题的方法。

c.课程设计过程经验教训、心得体会。

4) 书写格式

见附带说明。

5) 附录

a.参考书目

b.源程序清单(带注释)

●考核方式

指导老师负责验收程序的运行结果,并结合学生的工作态度、实际动手能力、创新精神和设计报告等进行综合考评,并按优秀、良好、中等、及格和不及格五个等级给出每位同学的课程设计成绩。具体考核标准包含以下几个部分:

1)平时出勤(占10%)

2)系统需求分析、功能设计、数据结构设计及程序总体结构合理与否(占10%

3)程序能否完整、准确地运行,个人能否独立、熟练地调试程序(占40%)

4)设计报告(占30%)

5)注意:不得抄袭他人的报告(或给他人抄袭),一旦发现,成绩为零分。

6)独立完成情况(占10%)。

课程设计验收要求

1)运行所设计的系统。

2)回答有关问题。

3)提交课程设计报告纸质稿。

4)提交源程序或设计报告文档电子稿。

5)依内容的创新程度,完善程序情况及对程序讲解情况打分。

二、进度安排

上机时间、地点

16周周二下午E410/413

16 周周二下午E413/414

16 周周三下午E414/606

附带说明:

1.课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(程序清单)。2.正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。

3.正文的内容:

一、课题的主要功能;

二、详细设计;

三、程序调试;

四、总结;

五、附件(所有程序的原代码,要求对程序写出必要的注释)。

4.正文总字数要求在5000字以上(不含程序原代码)。

目录

一、课题的主要功能

1)功能描述

2)集成数值比较器74LS85得功能

3)集成数值比较器74LS85是4位数值比较器

二、详细设计

1)打开QuartusII软件;新建一个项目,

2)建立VHDL文件

3)建立矢量波形文件

4)进行功能仿真

5)进入时序仿真

6)器件的下载

三、程序调试分析及设计体会

1)仿真或程序下载调试

2)设计过程中遇到的问题及解决方法

3)课程设计的心得体会

四、书写格式

五、总结

六、附录

1) 功能描述

2)集成数值比较器74LS85得功能

2)集成数值比较器74LS85是4位数值比较器,其功能如下:

从功能表可以看出,该比较器的比较原理和两位比较器的比较原理相同。两个4位数的比较是从A的最高位A3和B的最高位B3进行比较,如果它们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2和B2,余类推。显然,如果两数相等,那么,比较步骤必须进行到最低位才能得到结果。

2.函数表达式

3.逻辑电路图

2) 详细设计

①打开quartus软件;

②新建一个项目,但是这个过程要注意工程名要和项目一样,不然就会导致软件找不到文件而出错。见下图:

③新建一个vhdl程序编辑文件筐,如

③新建一个vhdl程序编辑文件筐,如

④然后写入你要编写的vhdl程序。

⑤程序写好以后保存一下,然后就要编译看是否有错误。(警告可以运行程序)

⑥程序编译好以后,我们就要制作一个网表编译框,然后我们要编辑结束时间,做完以后,我们就要插入一个节点,把项目改成所有,然后点一下list,把左边边框里的项目全部拉到右边边框,然后输入波形图,然后编译波形图,见下图:

⑦编译好波形图以后,我们就可以观察波形的输出结果了,看是否符合理想的结果。见下图:

⑧符合理想结果以后,我们就可以来定义引脚,定义好引脚以后,我们就要下载程序到芯片上。具体操作:点Tools/programmer就会出来一个文件编译框,然后把那个全选那个选择框全选,如:

然后再添加硬件,见下图:

添加好硬件好以后,我们就可以把程序下载到硬件上(注意:我们应该先连接电脑和仪器数据线,然后再插上电源)见下图:

点上面图中的那个start按键,就可以把程序下载到芯片上。

⑨做好以后,我们就可以在仪器上通过开关来控制输入,观察输出,如果结果和我们预想的

一致,我们的实验就成功了。

⑩实验成功以后,我们就可以写实验报告了

3)调试分析以及设计体会

a.仿真或程序下载调试(附界面截图)。(见详细设计)

b.设计过程中遇到的问题以及解决问题的方法。

⑴第一个错误就是工程名和项目名不一样,导致的错误就是每次调试程序都会出现3个错误。后经过老师的指导,才使我改正错误。这个老师上课的时候特别强调的,但是一旦自己动手做就又把老师的话,丢在脑后了。

⑵因为我们没有学习vhdl这门课程,导致我们不会自己编写程序,所以我们就只能自己在网上找程序,但是网上的程序质量有很大的差别。有很多的错误,并且问题是我们自己还不知道怎么去把错误改正。经过老师指导,我才把程序改正。但是还是不理解那个语法。

⑶就是对这个程序的不熟悉,老是弄错了顺序,导致程序错误。

c.课程设计过程经验教训、心得体会。

通过这次课程设计,加强了我们动手、思考和解决问题的能力。在这个设计课程开始的时候,我感到很迷茫,不知道该怎么去做这个课程设计。不知道该怎么去开使这个课程设计。最后再看了很多次的课程设计计划书之后终于做了4位数值比较器这个课题。这个课题老师在上课的时候也有讲过。当时听起来感觉害死十分的简单。当我开始这个课题的时候,我有发现了我对这个4位数比较器了解的太少了。在这个课程设计的过程中,我查阅了大量的资料,询问了同学老多问题,才把这个课题了解的比较清楚。

经过一个星期的课程设计实习,使得我们经历过了坎坷的路程,其中的经历一言难尽。在这期间我曾经认为课程设计是比较简单的,从开始的满是激情,经过了一度忙乱,到最后的汗水背后的复杂心情,这期间的点点滴滴令我十分难忘,回味无穷。我感觉到这才是比较真是,充满活力的生活。生活就是这样,只有付出辛劳的汗水才能得到相应的收获,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。充满劳动的人生才更具有意义,才更加的美好。我忍艰苦奋斗这个词非常的适用于我们的这各课程设计,苦中作乐更是体现了他的精髓。我们全体的学生都在课程设计中留下辛勤的汗水。都在为了自己的

课程设计更加的完美而不断地查阅资料,不断地改进自己的方案。我们同学之间也在不断地相互帮助,使得我们之间的感情更加的好,更加的想一个大家庭里的兄弟姐妹。我们一起讨论问题一起又说有笑,人与人之间的距离更近了。

当我们看到自己的成果时,心里十分的激动,十分的兴奋,也明白了很多的道理。我认为有些事情即使再难,再枯燥只要我们尽自己最大的努力也能完成的很好。我们要挑战自己的懒惰心理,执着的坚持下去才能使得我们的工作做得更加的出色。“世上无难事,只要肯登攀”做任何事都是如此。在设计的过程中遇到问题,可以说得是艰难险阻,这毕竟这是第一次接触这些东西,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

同时,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!此次课程设计,学到了很多课堂上学不到的东西,像独立的思考解决问题和怎样向他人学习,这些都使我都受益非浅。

在此,感谢刘老师的细心指导,同样谢谢其他各组同学的无私帮助

源代码:

library ieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entity trigger_dis

port(clk,d,sreset:in std_logic;--同步复位端sreset

q,qf:out std_logic);

end entity;

architecture artoftrigger_dis

begin

process(clk,d,sreset)

begin

if clk'event and clk='1'then

if sreset='1' then q<='0';qf<='1';

elseq<=d;qf<=not(d);

endif;

endif;

end process;

endarchitecture art;

计算机与通信学院课程设计评分表课题名称:

教师签名:

日期:

电压比较器原理介绍

一、电压比较器原理 电压比较器是集成运放非线性应用电路,常用于各种电子设备中,那么什么是电压比较器呢? 它将一个模拟量电压信号和一个参考固定电压相比较,在二者幅度相等的附近,输出电压将产生跃变,相应输出高电平或低电平。比较器可以组成非正弦波形变换电路及应用于模拟与数字信号转换等领域。 图1所示为一最简单的电压比较器,UR为参考电压,加在运放的同相的输入端,输入电压ui加在反相的输入端。 图1电压比较器原理图(a)及传输特性(b) (a)电路图 (b)传输特性当ui<U R时,运放输出高电平,稳压管Dz反向稳压工作。输出端电位被其箝位在稳压管的稳定电压U Z,即 u O=U Z 当ui>U R时,运放输出低电平,DZ正向导通,输出电压等于稳压管的正向压降U D,即 uo=-U D 因此,以U R为界,当输入电压ui变化时,输出端反映出两种状态,高电位和低电位。 表示输出电压与输入电压之间关系的特性曲线,称为传输特性。图1(b)为(a)图比较器的传输特性。 常用的电压比较器有过零电压比较器、具有滞回特性的过零比较器、滞回电压比较器,窗口(双限)电压比较器。 二、集成电压比较器简介 作用:可将模拟信号转换成二值信号,即只有高电平和低电平两种状态的离散信号。应用:作为模拟电路和数字电路的接口电路。 特点:比集成运放的开环增益低,失调电压大,共模抑制比小;但其响应速度快,传输延迟时间短,而且不需外加限幅电路就可直接驱动TTL、CMOS和ECL等集成数字电路;有些芯片带负载能力很强,还可直接驱动继电器和指示灯(例如LM311)。 三、电压比较器的应用 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端) 及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压V A,反相端输入V B。V A和V B的变化如图1(b)所示。

电压比较器电路图

电压比较器电路图 单限比较器电路 OH。图1B为其传输特性。 图3为某仪器中过热检测保护电路。它用单电源供电,1/4LM339的反相输入端加一个固定的参考电压,它的值取决于R1于R2。UR=R2/(R1+R2)*UCC。同相端的电压就等于热敏元件RT的电压降。当机内温度为设定值以下时,“+”端电压大于“-”端电压,UO为

高电位。当温度上升为设定值以上时,“-”端电压大于“+”端,比较器反转,UO输出为零电位,使保护电路动作,调节R1的值可以改变门限电压,既设定温度值的大小。 图3 迟滞比较器 图1 不难看出,当输出状态一旦转换后,只要在跳变电压值附近的干扰不超过ΔU之值,输出电压的值就将是稳定的。但随之而来的是分辨率降低。因为对迟滞比较器来说,它不能分辨差别小于ΔU的两个输入电压值。迟滞比较器加有正反馈可以加快比较器的响应速度,这是它的一个优点。除此之外,由于迟滞比较器加的正反馈很强,远比电路中的寄生耦合强得多,故迟滞比较器还可免除由于电路寄生耦合而产生的自激振荡。 图2 图3为某电磁炉电路中电网过电压检测电路部分。电网电压正常时,1/4LM339的U4<,U5=,输出开路,过电压保护电路不工作,作为正反馈的射极跟随器BG1是导通

的。当电网电压大于242V时,U4>,比较器翻转,输出为0V,BG1截止,U5的电压就完全决定于R1与R2的分压值,为,促使U4更大于U5,这就使翻转后的状态极为稳定,避免了过压点附近由于电网电压很小的波动而引起的不稳定的现象。由于制造了一定的回差(迟滞),在过电压保护后,电网电压要降到242-5=237V时,U4UR2或UIN

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

LM339电压比较器原理应用

四电压比较器LM339的8个典型应用例子 LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:1)失调电压小,典型值为2mV;2)电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;3)对比较信号源的内阻限制较宽;4)共模范围很大,为0~(Ucc-1.5V)Vo;5)差动输入电压范围较大,大到可以等于电源电压;6)输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。 单限比较器电路 图2a给出了一个基本单限比较器。输入信号Uin,即待比较电压,它加到同相输入端,在反相输入端接一个参考电压(门限电平)Ur。当输入电压Uin>Ur时,输出为高电平UOH。图2b为其传输特性。

4位数值比较器设计

电了技术课程设计报告题目: 4 位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1课程设计的任务 采用Multisim 12.0 软件实现4位数值比较器的设计与仿真。 1.2课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74LS85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A(与B3B2B1B(进行比较。从A的最高位A3和 B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3则再比较次高位A2=B2余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+F A3=B3FA2=B2FA仁B1FA0=B0IA>B (2-1) FAB、IAB、IAvB、IA=B进行适当处理,IA>B=IA

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

电压比较器

模拟电子技术自主设计实验 姓名:林启震班级:04101 学号1120410121 实验日期:5.27 台号:教师签字: 电压比较器 一、实验目的 1、掌握电压比较器的分析及其计算 2、学习测试比较器的方法 二、实验仪器 1、双踪示波器 2、信号发生器 3、数字万用表 4、直流电源。 三、实验原理及测量方法 电压比较器(通常称为比较器)的功能是比较两个电压的大小。例如,将一个信号电压Ui和另一个参考电压Ur进行比较,在Ui>Ur和Ui0时,Uo为低电平 Ui<0时,Uo为高电平 集成运放输出的高低电平值一般为最大输出正负电压值U om (a)电路图(b)电压传输特性曲线 图1 过零比较器 2、滞回电压比较器 滞回电压比较器是由集成运放外加反馈网络构成的正反馈电路,如图2所示。Ui为信号电压,Ur为参考电压值,输出端的稳压管使输出的高低电平值为±Uz。可以看出,此电路形成的反馈为正反馈电路。

(a )电路图 (b )电压传输特性曲线 图2 反向滞回电压比较器 电压比较器的特性可以用电路的传输特性来描述,它是指输出电压与输入电压的关系曲线,如图1(b )为过零比较器的电压传输特性曲线。 可以看出,当输入电压从低逐渐升高或从高逐渐降低经过0电压时,Uo 会从一个电平跳变为另一个电平,称0为过零比较器的阈值。阈值定义为当比较器的输出电平从一个电平跳变到另一个电平时对应的输入电压值。 滞回电压比较器的电压传输特性曲线如图2(b )所示。 曲线表明,当输入电压由低向高变化,经过阈值1TH U 时,输出电平由高电平(Uz )跳变为低电平(-Uz )。 2123z TH R U U R R = + 当输入电压由高向低变化,经过阈值2TH U 时,输出电平由低电平(-Uz)跳变为高电平(Uz)。 2123z TH R U U R R -= + 3、电压比较器的测试 测试过零比较器时,可以用一个低频的正弦信号输入至比较器中,直接用双踪示波器监看输出和输入波形,当输入信号幅度适中时,可以发现输入电压大于零、小于零时,输出的高、低电平变化波形,即将正弦波变换为方波。 滞回电压比较器测试时也可由用同样的方法,但在示波器上读取上、下阈值时,误差较大。采用直流输入信号的方案较好,调节输入信号变化,测出输出电平跳变时对应的输入电压值即为阈值。 四、实验内容 1、 过零比较器 (1)连接图1(a )实验电路,检查无误后,接通12V ±直流电源 (2)测量当Ui 悬空时,Uo 的值 (3)调节信号源,使输出频率为100Hz ,有效值为1V 的正弦波信号,并输入至Ui 端,用示波器观察比较器的输入Ui 与输出Uo 波形并记录 (4)改变信号发生器的输出电压Ui 幅值,用示波器观察Uo 变化,测出电压传

Candence课程设计——2位数值比较器

集成电路设计论文 论文题目:2位数值比较器 姓名:陈英文 学号:1020630126 学院:机械与电子工程学院专业:电子科学与技术 班级:10206301 指导教师:蔡老师

一、课程实验设计目的 本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(A

逻辑符号示意框图: Y(A>B) Y(A=B) Y(AB)Y(A=B)Y(A

2.3 逻辑图如下所示: 3. 2位数值比较器 3.1 定义:比较两个2 位二进制数的大小的电路 3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。 3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B0

电压比较器教程文件

电压比较器

实验十集成运放基本应用之三——电压比较电路 姓名:班级:学号:实验时间: 一、实验目的 1、掌握比较器的电路构成及特点 2、学会测试比较器的方法 二、实验原理 1、图1所示为一最简单的电压比较器,UR为参考电压,输入电压Ui加在反相输入端。图1(b)为(a)图比较器的传输特性。 (a) 图1 电压比较器 (b) 当UiUR时,运放输出低电平,Dz正向导通,输出电压等于稳压管的正向压降UD,即:Uo=-UD。 因此,以UR为界,当输入电压Ui变化时,输出端反映两种状态。高电位和低电位。 2、常用的幅度比较器有过零比较器、具有滞回特性的过零比较器(又称Schmitt触发器)、双限比较器(又称窗口比较器)等。 (1)、图2过零比较器 D1D2为幅稳压管。信号从运放的反相端输入,参考电压为零。当u1>0 时,u0=-(Uz+U D),当u1<0时,u0=+(Uz+U D)

(a) 图2 过零比较器 (b) (2)、图3为滞回比较器。 过零比较器在实际工作时,如果Ui恰好在过零值附近,则由于零点漂移的存在,Uo将不断由一个极限值转换到另一个极限值,这在控制系统中,对执行机构将是很不利的。为此就需要输出特性具有滞回现象。如图3所示: (a) (b) 图3 滞回比较器 从输出端引入一个电阻分压支路到同相输入端,若Uo 改变状态,U∑ 点也随着改变点位,使过零点离开原来位置。当Uo 为正(记作U D )U∑=[ R2/( R2+ R f )]* U D ,则当UD> U∑后,Uo 再度回升到UD,于是出现图(b)中所示的滞回特性。- U∑ 与U∑ 的差别称为回差。改变R2 的数值可以改变回差的大小。 三、实验设备与器件 1、±12V直流电源 2、直流电压表 3、函数信号发生器 4、交流毫伏表 5、双踪示波器 6、运算放大器μA741×2 7、稳压管2CW231×1 8、二极管4148×2 9、电阻器等

电压比较器电路图

电压比较器电路。 电压比较器是比较两个电压和开关输出或高或低的状态,取决于电压较高的电路。一个基于运放电压比较器上显示。图1显示了一个电压比较器的反相模式图显示了在非反相模式下的电压比较。 电压比较器 非反相比较 在非反相比较器的参考电压施加到反相输入电压进行比较适用于非反相输入。每当进行比较的电压(Vin)以上的参考电压进入运放的输出摆幅积极饱和度(V+),和副反之亦然。实际上发生了什么是VIN和Vref(VIN-VREF)之间的差异,将是一个积极的价值和由运放放大到无穷大。由于没有反馈电阻Rf,运放是在开环模式,所以电压增益(AV)将接近无穷。+所以最大的可能值,即输出电压摆幅,V。请记住公式AV=1+(Rf/R1)。当VIN低于VREF,反向发生。 反相比较

在相比较的情况下,参考电压施加到非反相输入和电压进行比较适用于反相输入。每当输入电压(Vin)高于VREF,运放的输出摆幅负饱和。倒在这里,两个电压(VIN-VREF)之间的差异和由运放放大到无穷大。记住公式AV=-Rf/R1。在反相模式下的电压增益的计算公式是AV=-Rf/R1.Since没有反馈电阻,增益将接近无穷,输出电压将尽可能即负,V-。 实际电压比较器电路 一种实用的非基于UA741运放的反相比较器如下所示。这里使用R1和R2组成的分压器网络设置参考电压。该方程是VREF=(五+/(R1+R2)的)×R2的。代入这个方程电路图值,VREF=6V。当VIN高于6V,输出摆幅?+12V直流,反之亦然。从A+/-12V 直流双电源供电电路。 电压比较器的使用741

一些其他的运放,你可能会感兴趣的相关电路 1求和放大器:总结放大器可以用来找到一个信号给定数量的代数和。 2。集成使用运放:对于一个集成的电路,输出信号将输入信号的积分。例如,一个集成的正弦波使余弦波,方波一体化为三角波等。 3。反相放大器:在一个反相放大器,输出信号将输入信号的倒版,是由某些因素放大。 4,仪表放大器:这是一个类型的差分放大器输入额外的缓冲阶段。输入阻抗高,易于匹配结果。仪表放大器具有更好的稳定性,高共模抑制比(CMRR),低失调电压和高增益。

4位数值比较器设计

电子技术课程设计报告题目: 4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1)FAB、IAB、IAB=IA

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

4位输入数据的一般数值比较器电路设计

课程设计报告 课程名称数字逻辑课程设计 课题4位输入数据的一般数值的比较 电路的设计 专业计算机科学与技术 班级计算机1202 学号

姓名周逢露 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题4位输入数据的一般数值 比较电路的设计 专业班级计算机科学与技术

学生姓名周逢露 学号201203010202 指导老师刘洞波陈淑红陈多 审批刘洞波 任务书下达日期:2013年12月13日任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1EDA技术与VHDL程序 开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22416-72010TP312VH/36 2VHDL电路设计雷伏容清华大学出版 社 7-302-14226-22006TN702/185 3VHDL 电路设计技术王道宪贺名臣 刘伟 国防工业出版 社 7-118-03352-92004TN702/62 4VHDL 实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/1 5VHDL 语言100 例详解北京理工大学 ASIC研究所 7-9006257-900625-02-X1999TP312VH/3 6VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9200073.9621/W38V

电压比较器

实验十集成运放基本应用之三——电压比较电路 姓名:班级:学号:实验时间: 一、实验目的 1、掌握比较器的电路构成及特点 2、学会测试比较器的方法 二、实验原理 1、图1所示为一最简单的电压比较器,UR为参考电压,输入电压Ui加在反相输入端。图1(b)为(a)图比较器的传输特性。 (a) 图1 电压比较器 (b) 当UiUR时,运放输出低电平,Dz正向导通,输出电压等于稳压管的正向压降UD,即:Uo=-UD。 因此,以UR为界,当输入电压Ui变化时,输出端反映两种状态。高电位和低电位。 2、常用的幅度比较器有过零比较器、具有滞回特性的过零比较器(又称Schmitt触发器)、双限比较器(又称窗口比较器)等。 (1)、图2过零比较器 D1D2为幅稳压管。信号从运放的反相端输入,参考电压为零。当u1>0时,u0=-(Uz+U D),当u1<0时,u0=+(Uz+U D) (a) 图2 过零比较器(b)

(2)、图3为滞回比较器。 过零比较器在实际工作时,如果Ui恰好在过零值附近,则由于零点漂移的存在,Uo 将不断由一个极限值转换到另一个极限值,这在控制系统中,对执行机构将是很不利的。为此就需要输出特性具有滞回现象。如图3所示: (a) (b) 图3 滞回比较器 从输出端引入一个电阻分压支路到同相输入端,若Uo 改变状态,U∑ 点也随着改变点位,使过零点离开原来位置。当Uo 为正(记作U D )U∑=[ R2/(R2+ R f )]* U D ,则当UD> U∑后,Uo 再度回升到UD,于是出现图(b)中所示的滞回特性。- U∑ 与U∑ 的差别称为回差。改变R2 的数值可以改变回差的大小。 三、实验设备与器件 1、±12V直流电源 2、直流电压表 3、函数信号发生器 4、交流毫伏表 5、双踪示波器 6、运算放大器μA741×2 7、稳压管2CW231×1 8、二极管4148×2 9、电阻器等 四、实验内容 1、过零电压比较器 (1)如图5所示在运放系列模块中正确连接电路,并接通±12V电源。 图5 过零比较器

LM324电压比较器电路图和应用

电压比较器基本原理及设计应用 本文主要介绍电压比较器基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。 什么是电压比较器 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端) 及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout的输出如图1(c)所示:VA>VB时,Vout输出高电 平(饱和输出);VB>VA时,Vout输出低电平。根据输出电平的高低便可知道哪个电压大。

如果把VA输入到反相端,VB输入到同相端,VA及VB的电压变化仍然如图1(b)所示,则Vout输出如图1(d)所示。与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB的输入端有关。 图2(a)是双电源(正负电源)供电的比较器。如果它的VA、VB输入电压如图1(b)那样,它的输出特性如图2(b)所示。VB>VA时,Vout输出饱和负电压。

如果输入电压VA与某一个固定不变的电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压。如果这参考电压是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4 个电阻的关系式为:Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。

4位数值比较器

X X大学课程设计 题目 4位数值比较器 学院 X学院 专业 XXX 班级 XXX 学生 XXX 学号 200000000 指导教师 XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1 前言.................................................................. ................ .. (3) 1.1CMOS组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 24位数比较器 (7) 2.1 原理框图 (7) 2.2 逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.2 4位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

电压比较器资料讲解

电压比较器 电压比较器是对输入信号进行鉴幅与比较的电路,是组成非正弦波发生电路的基本单元电路,在测量和控制中有着相当广泛的应用。 电压比较器的功能是对两个输入电压的大小进行比较,并根据比较结果输出高、低两个电平。此外由于高电平相当于逻辑“1”,低电平相当逻辑“0”,所以比较器可作为摸拟与数字电路之间的接口电路. 由于比较器输出只有两个状态,因此,用作比较器的运放将工作在开环或正反馈的非线性状态。 电压比较器的电路符号 电压比较器的基本特性 1. 输出 高电平(U oH )和低电平(U oL ) 用运放构成的比较器,其输出的高电平UoH 和低电平UoL 可分别接近于正电源电压(UCC)和负电源电压(-UCC)。 2. 鉴别灵敏度 理想的电压比较器,在高、低电平转换的门限UT 处具有阶跃的传输特性。 这就要求运放: 实际运放的Aud 不为无穷大。在UT 附近存在着一个比较的不灵敏区。在该区域内输出既非UoH ,也非UoL ,故无法对输入电平大小进行判别。 显然,Aud 越大,则不灵敏区就越小,称比较器的鉴别灵敏度越高。 3.转换速度 作为比较器的另一个重要特性就是转换速度,即比较器输出状态发生转换所需要的时间。 ud A = ∞ u u EE u -u +

通常要求转换时间尽可能短,以便实现高速比较。为此可对比较器施加正反馈,以提高转换速度。 理想集成运放非线性应用时的特点 非线性应用的条件:运放开环或施加正反馈。 非线性应用特点: 反相电压比较器 电路如图所示, 输入信号u i 加在反相端,参考电压u r 加在同相端。 u i < u r , u o =U OH ui > ur , uo=UOL 。 同相电压比较器 电路如图所示, 输入信号u i 加在同相端,参考电压u r 加在反相端。 ui < ur , uo=UOL ui > ur , uo=UOH 当参考电压为零时,则为同相过零比较器。 o CC oL o CC oH i i u u u U U u u u U U +--+ -+==>≈-=<≈+=

模拟cmos集成电路-2位数值比较器

WORD格式模拟CMOS集成电路课程设计 题目:二位数值比较器 专业:电子科学与技术 班级: 学号: 姓名: 指导老师: 完成时间:2014年月日

目录 一.设计目标 二.一位数值比较器的设计 三.二位数值比较器的设计 四.原理图的绘制及电路的仿真 五.实验电路的版图设计 六.实验心得

一.设计目标 本次课程设计把重点放在电路的设计、制作和仿真,以及版图的设计。熟悉在UNIX系统下Cadence软件的使用, 掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(AB)Y(A=B)Y(A

10100 11010 3.根真值表可写出逻辑函数表达式为4逻辑图如下所示:

三.二位数值比较器的设计 1.二位数值比较器 二位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。为了减少符号的种类,不再使用字 母L,而以(Ai>Bi)、(AiB1)=0和(A1

4位数值比较器

X X大学课程设计 题目4位数值比较器 学院X学院 专业XXX 班级XXX 学生XXX 学号200000000 指导教师XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1前言.................................................................. ................ .. (3) 1.1C M O S组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 2 4位数比较器 (7) 2.1 原理框图 (7) 2.2逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.24位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

相关文档
最新文档