模电数电笔试面试题目大全

模电数电笔试面试题目大全
模电数电笔试面试题目大全

模电数电面试笔试题目大全

模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反

馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非

线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺

点,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的

运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点

的 rise/fall时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求绘制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤

波器。当RC<

16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、

带通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管

还是N管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述

其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期....)

(华为面试题)

25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)

26、VCO是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举。(未知)

31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线

无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理。(未知)

35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低功耗,稳定,高速如何

做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯

定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就

不一样了,不好说什么了。(未知)

_______________________________________________________________________

数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA

2003.11.06 上海笔试试题)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发

器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上

升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个

数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。

保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time

不够,数据同样不能被打入触发器。

建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信

号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如

果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时

间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微

电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致

叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决

方法:一是添加布尔式的消去项,二是在芯片外部加电容。

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之

间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。

11、如何解决亚稳态。(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚

稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平

上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

12、IC设计中同步复位与异步复位的区别。(南山之桥)

13、MOORE 与 MEELEY状态机的特征。(南山之桥)

14、多时域设计中,如何处理信号跨时域。(南山之桥)

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-大唐笔试)

Delay < period - setup – hold

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。组合逻辑电路最大延

迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华

为)

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决

定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)

18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA

2003.11.06 上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,

使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优

点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

24、please show the CMOS inverter schmatic,layout and its cross sectionwith P-

well process.Plot its transfer curve (V out-V in) And also explain the

operation region of PMOS and NMOS for each segment of the transfer curve? (威

盛笔试题circuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,please define

the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2 input AND gate and

explain which input has faster response for output rising edge.(less delay

time)。(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔

试)

30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz'。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。(Infineon笔试)

38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知)

43、用波形表示D触发器的功能。(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题)

46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图。(未知)

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、LATCH和DFF的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的。

(南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)

57、用D触发器做个4进制的计数。(华为)

58、实现N位Johnson Counter,N=5。(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰

微电子)

60、数字电路设计当然必问V erilog/VHDL,如设计计数器。(未知)

61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)

62、写异步D触发器的verilog module。(扬智电子笔试)

module dff8(clk , reset, d, q);

input clk;

input reset;

input [7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

63、用D触发器实现2倍分频的V erilog描述?(汉王笔试)

module divide2( clk , clk_o, reset);

input clk , reset;

output clk_o;

wire in;

reg out ;

always @ ( posedge clk or posedge reset)

if ( reset)

out <= 0;

else

out <= in;

assign in = ~out;

assign clk_o = out;

endmodule

64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器

件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试)PAL,PLD,CPLD,FPGA。

module dff8(clk , reset, d, q);

input clk;

input reset;

input d;

output q;

reg q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的)。(威盛VIA 2003.11.06 上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱

数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计

的要求。(未知)

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)

画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计

工程中可使用的工具及设计大致过程。(未知)

73、画出可以检测10010串的状态图,并verilog实现之。(威盛)

74、用FSM实现101101的序列检测模块。(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。

例如a: 0001100110110100100110

b: 0000000000100100000000

请画出state machine;请用RTL描述其state machine。(未知)

75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。(飞利浦-大唐

笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦-大唐笔试)

77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x

为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v假

设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。(仕兰微

电子)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9

-14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温

度,增大电容存储容量)(Infineon笔试)

80、Please draw schematic of a common SRAM cell with 6 transistors,point out

which nodes can store data and which node is word line control? (威盛笔试题

circuit design-beijing-03.11.09)

81、名词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: Basic Input Output System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

压控振荡器的英文缩写(VCO)。

动态随机存储器的英文缩写(DRAM)。

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、

IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散

傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡

____________________________________________________________________________

IC设计基础(流程、工艺、版图、器件)

1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路

相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目)

2、FPGA和ASIC的概念,他们的区别。(未知)

答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一

个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计

制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点

3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)

4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目)

5、描述你对集成电路设计流程的认识。(仕兰微面试题目)

6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目)

7、IC设计前端到后端的流程和eda工具。(未知)

8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知)

9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题)

10、写出asic前期设计的流程和相应的工具。(威盛)

11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试)

先介绍下IC开发流程:

1.)代码输入(design input)

用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码

语言输入工具:SUMMIT VISUALHDL

MENTOR RENIOR

图形输入: composer(cadence);

viewlogic (viewdraw)

2.)电路仿真(circuit simulation)

将vhd代码进行先前逻辑仿真,验证功能描述是否正确

数字电路仿真工具:

V erolog: CADENCE V erolig-XL

SYNOPSYS VCS

MENTOR Modle-sim

VHDL : CADENCE NC-vhdl

SYNOPSYS VSS

MENTOR Modle-sim

模拟电路仿真工具:

***ANTI HSpice pspice,spectre micro microwave: eesoft : hp

3.)逻辑综合(synthesis tools)

逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再

仿真。最终仿真结果生成的网表称为物理网表。

12、请简述一下设计后端的整个流程?(仕兰微面试题目)

13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元素?(仕兰微面试题目)

14、描述你对集成电路工艺的认识。(仕兰微面试题目)

15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题目)

16、请描述一下国内的工艺现状。(仕兰微面试题目)

17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目)

18、描述CMOS电路中闩锁效应产生的过程及最后的结果?(仕兰微面试题目)

19、解释latch-up现象和Antenna effect和其预防措施.(未知)

20、什么叫Latchup?(科广试题)

21、什么叫窄沟效应? (科广试题)

22、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差别?(仕兰微面试题目)

23、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求?(仕兰微面试题目)

24、画出CMOS晶体管的CROSS-OVER图(应该是纵剖面图),给出所有可能的传输特性和转

移特性。(Infineon笔试试题)

25、以interver为例,写出N阱CMOS的process流程,并画出剖面图。(科广试题)

26、Please explain how we describe the resistance in semiconductor. Compare

the resistance of a metal,poly and diffusion in tranditional CMOS process.(威

盛笔试题circuit design-beijing-03.11.09)

27、说明mos一半工作在什么区。(凹凸的题目和面试)

28、画p-bulk 的nmos截面图。(凹凸的题目和面试)

29、写schematic note(?),越多越好。(凹凸的题目和面试)

30、寄生效应在ic设计中怎样加以克服和利用。(未知)

31、太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公

式推导太罗索,除非面试出题的是个老学究。IC设计的话需要熟悉的软件: Cadence, Synopsys, Avant,UNIX当然也要大概会操作。

32、unix 命令cp -r, rm,uname。(扬智电子笔试)

___________________________________________________________________________

单片机、MCU、计算机原理

1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控制流

流向。简述单片机应用系统的设计原则。(仕兰微面试题目)

2、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的P2.5,P2.4和

P2.3参加译码,基本地址范围为3000H-3FFFH。该2716有没有重叠地址?根据是什么?若

有,则写出每片2716的重叠地址范围。(仕兰微面试题目)

3、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图。(仕兰微面试

题目)

4、PCI总线的含义是什么?PCI总线的主要特点是什么?(仕兰微面试题目)

5、中断的概念?简述中断的过程。(仕兰微面试题目)

6、如单片机中断几个/类型,编中断程序注意什么问题;(未知)

7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成。简单原理如

下:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八

个开关来设置,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",组成一个八

位二进制数N),要求占空比为N/256。(仕兰微面试题目)

下面程序用计数法来实现这一功能,请将空余部分添完整。

MOV P1,#0FFH

LOOP1 :MOV R4,#0FFH

--------

MOV R3,#00H

LOOP2 :MOV A,P1

--------

SUBB A,R3

JNZ SKP1

--------

SKP1:MOV C,70H

MOV P3.4,C

ACALL DELAY :此延时子程序略

--------

--------

AJMP LOOP1

8、单片机上电后没有运转,首先要检查什么?(东信笔试题)

9、What is PC Chipset? (扬智电子笔试)

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为

北桥芯片和南桥芯片。北桥芯片提供对CPU的类型和主频、内存的类型和最大容量、

ISA/PCI/AGP插槽、ECC纠错等支持。南桥芯片则提供对KBC(键盘控制器)、RTC(实时时

钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和ACPI(高级

能源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge)。

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的

8xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM和USB直接接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s。

10、如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题。

(未知)

11、计算机的基本组成部分及其各自的作用。(东信笔试题)

12、请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接

口、所存器/缓冲器)。(汉王笔试)

13、cache的主要部分什么的。(威盛VIA 2003.11.06 上海笔试试题)

14、同步异步传输的差异(未知)

15、串行通信与同步通信异同,特点,比较。(华为面试题)

16、RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?) (华为面试题)

___________________________________________________________________________

信号与系统

1、的话音频率一般为300~3400HZ,若对其采样且使信号不失真,其最小的采样频率应为

多大?若采用8KHZ的采样频率,并采用8bit的PCM编码,则存储一秒钟的信号数据量有多大?(仕兰微面试题目)

2、什么耐奎斯特定律,怎么由模拟信号转为数字信号。(华为面试题)

3、如果模拟信号的带宽为 5khz,要用8K的采样率,怎么办? (lucent) 两路?

4、信号与系统:在时域与频域关系。(华为面试题)

5、给出时域信号,求其直流分量。(未知)

6、给出一时域信号,要求(1)写出频率分量,(2)写出其傅立叶变换级数;(3)当波

形经过低通滤波器滤掉高次谐波而只保留一次谐波时,画出滤波后的输出波形。(未知)

7、sketch 连续正弦信号和连续矩形波(都有图)的傅立叶变换。(Infineon笔试试题)

8、拉氏变换和傅立叶变换的表达式及联系。(新太硬件面题)

_________________________________________________________________________

DSP、嵌入式、软件等

1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,

也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。(仕兰微面试题

目)

2、数字滤波器的分类和结构特点。(仕兰微面试题目)

3、IIR,FIR滤波器的异同。(新太硬件面题)

4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ(n) a.求h

(n)的z变换;b.问该系统是否为稳定系统;c.写出FIR数字滤波器的差分方程;(未知)

5、DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图。(信威dsp软件面试题)

6、说说定点DSP和浮点DSP的定义(或者说出他们的区别)(信威dsp软件面试题)

7、说说你对循环寻址和位反序寻址的理解.(信威dsp软件面试题)

8、请写出【-8,7】的二进制补码,和二进制偏置码。用Q15表示出0.5和-0.5.(信威dsp软件面试题)

9、DSP的结构(哈佛结构);(未知)

10、嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系

统方面偏CS方向了,在CS篇里面讲了;(未知)

11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你的测试项目?

12、某程序在一个嵌入式系统(200M CPU,50M SDRAM)中已经最优化了,换到零一个系统(300M CPU,50M SDRAM)中是否还需要优化?(Intel)

13、请简要描述HUFFMAN编码的基本原理及其基本的实现方法。(仕兰微面试题目)

14、说出OSI七层网络协议中的四层(任意四层)。(仕兰微面试题目)

15、A)(仕兰微面试题目)

#i nclude

void testf(int*p)

{

*p+=1;

}

main()

{

int *n,m[2];

n=m;

m[0]=1;

m[1]=8;

testf(n);

printf("Data value is %d ",*n);

}

------------------------------

B)

#i nclude

void testf(int**p)

{

*p+=1;

}

main()

{int *n,m[2];

n=m;

m[0]=1;

m[1]=8;

testf(&n);

printf(Data value is %d",*n);

}

下面的结果是程序A还是程序B的?

Data value is 8

那么另一段程序的结果是什么?

16、那种排序方法最快? (华为面试题)

17、写出两个排序算法,问哪个好?(威盛)

18、编一个简单的求n!的程序。(Infineon笔试试题)

19、用一种编程语言写n!的算法。(威盛VIA 2003.11.06 上海笔试试题)

20、用C语言写一个递归算法求N!;(华为面试题)

21、给一个C的函数,关于字符串和数组,找出错误;(华为面试题)

22、防火墙是怎么实现的?(华为面试题)

23、你对哪方面编程熟悉?(华为面试题)

24、冒泡排序的原理。(新太硬件面题)

25、操作系统的功能。(新太硬件面题)

26、学过的计算机语言及开发的系统。(新太硬件面题)

27、一个农夫发现围成正方形的围栏比长方形的节省4个木桩但是面积一样.羊的数目和正方形围栏的桩子的个数一样但是小于36,问有多少羊?(威盛)

28、C语言实现统计某个cell在某.v文件调用的次数(这个题目真bt) (威盛VIA

2003.11.06 上海笔试试题)

29、用C语言写一段控制手机中马达振子的驱动程序。(威胜)

30、用perl或TCL/Tk实现一段字符串识别和比较的程序。(未知)

31、给出一个堆栈的结构,求中断后显示结果,主要是考堆栈压入返回地址存放在低端地址还是高端。(未知)

32、一些DOS命令,如显示文件,拷贝,删除。(未知)

33、设计一个类,使得该类任何形式的派生类无论怎么定义和实现,都无法产生任何对象实例。(IBM)

34、What is pre-emption? (Intel)

35、What is the state of a process if a resource is not available? (Intel)

36、三个 float a,b,c;问值(a+b)+c==(b+a)+c, (a+b)+c==(a+c)+b。(Intel)

37、把一个链表反向填空。 (lucent)

38、x^4+a*x^3+x^2+c*x+d 最少需要做几次乘法? (Dephi)

____________________________________________________________________________ 主观题

1、你认为你从事研发工作有哪些特点?(仕兰微面试题目)

2、说出你的最大弱点及改进方法。(威盛VIA 2003.11.06 上海笔试试题)

3、说出你的理想。说出你想达到的目标。题目是英文出的,要用英文回答。(威盛VIA

2003.11.06 上海笔试试题)

4、我们将研发人员分为若干研究方向,对协议和算法理解(主要应用在网络通信、图象

语音压缩方面)、电子系统方案的研究、用MCU、DSP编程实现电路功能、用ASIC设计技术设计电路(包括MCU、DSP本身)、电路功能模块设计(包括模拟电路和数字电路)、集成电路后端设计(主要是指综合及自动布局布线技术)、集成电路设计与工艺接口的研究。

你希望从事哪方面的研究?(可以选择多个方向。另外,已经从事过相关研发的人员可以

详细描述你的研发经历)。(仕兰微面试题目)

5、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知

识?(仕兰微面试题目)

6、设想你将设计完成一个电子电路方案。请简述用EDA软件(如PROTEL)进行设计(包括

原理图和PCB图)到调试出样机的整个过程。在各环节应注意哪些问题?电源的稳定,电容的选取,以及布局的大小。(汉王笔试)

共同的注意点

1.一般情况下,面试官主要根据你的简历提问,所以一定要对自己负责,把简历上的东西

搞明白;

2.个别招聘针对性特别强,就招目前他们确的方向的人,这种情况下,就要投其所好,尽

量介绍其所关心的东西。

3.其实技术面试并不难,但是由于很多东西都忘掉了,才觉得有些难。所以最好在面试前

把该看的书看看。

4.虽然说技术面试是实力的较量与体现,但是不可否认,由于不用面试官/公司所专领域

及爱好不同,也有面试也有很大的偶然性,需要冷静对待。不能因为被拒,就否认自己或

责骂公司。

5.面试时要take it easy,对越是自己钟情的公司越要这样

--

我一定要把成功它爹揪出来

硬件开发工程师笔试试题

(请用钢笔作答,并将答题传真至:0755-********)

姓名

一、简述51单片机的I/O口结构及I/O端口的存取方法。

二、写出51单片机的寻址方式。

三、画出一个1101的序列检测电路。

请你画出由普通运算放大器组成、放大10倍的低频信号放大电路图。

对于汽车直流电源,电源采用如下滤波方式,L电感和C1、C2选择多少合适?

L为100UH、1mH哪种较好?

L

+12V

C1输入

C2输出

简述状态机的设计原理。

简述实时操作系统的任务调度算法。

请用C51语言编写一个函数:将两个ASCII码转换成一字节的BCD码。

请用C或者51汇编语言编写使用冒泡算法对16进制字串str[]从小到大排列。

十、简述你本人独立负责的一个产品开发过程。

四分析设计

1.波形变换题目

从正弦波->方波->锯齿波->方波,设计电路

2.74161计数器组成计数电路,分析几进制的

3.用D触发器构成2分频电路

有关于

1.TIC6000 DSP

2.二极管

3.RISC

4.IIR

16、时钟周期为T,触发器D1的寄存器到输出时间最大为T1max,最小为T1m in。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华

为)

T3setup>T+T2max,T3hold>T1min+T2min

数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性--因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用非同步電路設計。

异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻)

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA

2003.11.06 上海笔试试题)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。

建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

stability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微

电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之

间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需

要在输出端口加一上拉电阻接到5V或者12V。

cmos的高低电平分别为:Vih>=0.7VDD,Vil<=0.3VDD;Voh>=0.9VDD,Vol<=0.1VDD.

ttl的为:Vih>=2.0v,Vil<=0.8v;Voh>=2.4v,Vol<=0.4v.

用cmos可直接驱动ttl;加上拉电阻后,ttl可驱动cmos.

11、如何解决亚稳态。(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

解决方法:

1 降低系统时钟频率

2 用反应更快的FF

3 引入同步机制,防止亚稳态传播

4 改善时钟质量,用边沿变化快速的时钟信号

关键是器件使用比较好的工艺和时钟周期的裕量要大。

12、IC设计中同步复位与异步复位的区别。(南山之桥)

同步复位在时钟沿采复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。

13、MOORE 与 MEELEY状态机的特征。(南山之桥)

Moore 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化. Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关, 这

14、多时域设计中,如何处理信号跨时域。(南山之桥)

不同的时钟域之间信号通信时需要进行同步处理,这样可以防止新时钟域中第一级触发器的亚稳态信号对下级逻辑造成影响,其中对于单个控制信号可以用两级同步器,如电平、边沿检测和脉冲,对多位信号可以用FIFO,双口RAM,握手信号等。

跨时域的信号要经过同步器同步,防止亚稳态传播。例如:时钟域1中的一个信号,要送到时钟域2,那么在这个信号送到时钟域2之前,要先经过时钟域2的同步器同步后,才能进入时钟域2。这个同步器就是两级d触发器,其时钟为时钟域2的时钟。这样做是怕时钟域1中的这个信号,可能不满足时钟域2中触发器的建立保持时间,而产生亚稳态,因为它们之间没有必然关系,是异步的。这样做只能防止亚稳态传播,但不能保证采进来的数据的正确性。所以通常只同步很少位数的信号。比如控制信号,或地址。当同步的是地址时,一般该地址应采用格雷码,因为格雷码每次只变一位,相当于每次只有一个同步器在起作用,这样可以降低出错概率,象异步FIFO的设计中,比较读写地址的大小时,就是用这种方法。如果两个时钟域之间传送大量的数据,可以用异步FIFO来解决问题。

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-大唐笔试)

Delay < period - setup – hold

模电数电笔试题(下)

16、时钟周期为T,触发器D1的寄存器到输出时间最大为T1max,最小为T1m in。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华

为)

T3setup>T+T2max,T3hold>T1min+T2min

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决

定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)

T+Tclkdealy>Tsetup+Tco+Tdelay;

Thold>Tclkdelay+Tco+Tdelay;

18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)

静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时和最小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径,且运行速度很快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证中。

动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的时序问题;

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA

2003.11.06 上海笔试试题)

关键:将第二级信号放到最后输出一级输出,同时注意修改片选信号,保证其优先级未被修改。

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,

使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优

点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

卡诺图化简:一般是四输入,记住00 01 11 10顺序,

0 1 3 2

4 5 7 6

12 13 15 14

8 9 11 10

24、please show the CMOS inverter schmatic,layout and its cross se ctionwith P-

well process.Plot its transfer curve (Vout-Vin) And also explain t he

operation region of PMOS and NMOS for each segment of the transfer curve? (威

盛笔试题circuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,plea se define

the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

和载流子有关,P管是空穴导电,N管电子导电,电子的迁移率大于空穴,同样的电场下,N管的电流大于P管,因此要增大P管的宽长比,使之对称,这样才能使得两者上升时间下降时间相等、高低电平的噪声容限一样、充电放电的时间相等

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2 input A ND gate and

explain which input has faster response for output rising edge.(le ss delay

time)。(威盛笔试题circuit design-beijing-03.11.09)

模电数电及电力电子技术知识点

集成运算放大电路 输入级采用高性能的恒流源差动放大电路 要求输入阻抗高、差摸放大倍数大、共模抑制比高、差摸输入电压及共模输入电压范围大且静态电流小 作用减少零点漂移和抑制共模干扰信号 中间级采用共射放大电路 作用提供较高的电压增益 输入级要求其输出电压范围尽可能宽、输出电阻小以便有较强的带负载能力且非线性失真小 采用准互补输出级 偏置电路确定合适的静态工作点 采用准互补输出级 综合高差摸放大倍数、高共模抑制比、高输入阻抗、高输出电压、低输出阻抗的双端输入单端输出的差动放大器交直流反馈的判断电容隔直通交直流:短路交流:开路 串并联反馈的判断输入信号与反馈信号同时加在一个输入端上的是并联,反之 电压电流反馈的判断反馈电路直接从输出端引出的是电压反馈从负载电阻RL的靠近 “地”端引出的是电流反馈 直流脉宽调制PWM变换器 将固定电压的直流电源变换成大小可调的直流电源的DC-DC变换器又称直流斩波器。 它能从固定输入的直流电压产生出经过斩波的负载电。负载电压受斩波器工作率的控制。变 更工作率的方法与脉冲宽度调制(斩波频率f=1/T不变,改变导通时间t on)和频率调制(导 通时间t on或关断时间t off不变,改变斩波周期T即斩波频率f=1/T)两种。 斩波器的基本回落方式有升压(斩波器所产生的输出电压高于输入电压)和降压两种,改变回落元件的连接就可改换回路的方式。 用晶闸管作为开关的斩波器,由于晶闸管无自关断能力,它在直流回路里工作是,必须有一套使其关断的(强迫)换相(流)电路。晶闸管的换流方式有:电源换流、负载换流和 强迫换流。 负载换流缺点主要是电骡的揩振频率与L和C的大小有关,随着负载与频率的变化,换流的裕量也随之改变。 为了可靠换流,换流脉冲的幅值应足以消去晶闸管中的电流,脉冲的宽度应保证大于晶闸管的关断时间。 晶闸管斩波器的缺点是需要庞大的强迫换流电脑,是设备体积增大和损耗增加;而且斩波开关频率也低,致使斩波器电流的脉动幅度大,电源揩波也大,往往需加滤波器。 直流PWM变换器分不可逆、可逆输出两大类。前者输出只有一种极性的电压,而后者可输出正或负极性电压。如果在一个斩波周期中输出电压正、负相间的称为双极式可逆PWM 变换器;如果在一个斩波周期中输出电压只有一种极性电压的称为单极式可逆PWM变换 器。 双极式可逆PWM变换器的输出电压Uab在一个周期正、负相间。单机式可逆PWM变换器只在一个阶段中输出某一极限的脉冲电压+Uab或—Uab,在另一阶段中Uab=0. 无制动作用的不可逆输出PWM变换器电流始终是一个方向,因此不能产生制动作用,电动机只能作单象限运行,又称为受限式脉宽调制电路。 受限单极式可逆PWM变换器与单极式可逆PWM变换器的不同是避免了上下两个开关直通的可能性。 双极式脉宽调制器由三角波振荡器、电压比较器构成,单极式脉宽调制器由两只运算放

模电数电题面试题集锦

模拟电路知识 1、基尔霍夫定理的内容是什么? 基尔霍夫定律包括电流定律和电压定律 电流定律:在集总电路中,任何时刻,对任一节点,所有流出节点的支路电流的代数和恒等于零。 电压定律:在集总电路中,任何时刻,沿任一回路,所有支路电压的代数和恒等于零。 2、描述反馈电路的概念,列举他们的应用。 反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。 反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。负反馈的优点:降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用。 电压负反馈的特点:电路的输出电压趋向于维持恒定。 电流负反馈的特点:电路的输出电流趋向于维持恒定。 3、有源滤波器和无源滤波器的区别 无源滤波器:这种电路主要有无源元件R、L和C组成 有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。 集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 6、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。 7、什么叫做OTP片、掩膜片,两者的区别何在? OTP means one time program,一次性编程 MTP means multi time program,多次性编程 OTP(One Time Program)是MCU的一种存储器类型 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASHROM 等类型。 MASKROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSHROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途; OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 8、单片机上电后没有运转,首先要检查什么? 首先应该确认电源电压是否正常。用电压表测量接地引脚跟电源引脚之间的电压,看是否是电源电压,例如常用的5V。 接下来就是检查复位引脚电压是否正常。分别测量按下复位按钮和放开复位按钮的电压值,看是否正确。 然后再检查晶振是否起振了,一般用示波器来看晶振引脚的波形,注意应该使用示波器探头的“X10”档。另一个办法是测量复位状态下的IO口电平,按住复位键不放,然后测量IO口

模电数电基础笔试总结

模拟电路(基本概念和知识总揽) 1、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。 2、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用) 3、基尔霍夫定理的内容是什么? 基尔霍夫定律包括电流定律和电压定律。 电流定律:在集总电路中,任何时刻,对任一节点,所有流出节点的支路电流代数和恒等于零。电压定律:在集总电路中,任何时刻,沿任一回路,所有支路电压的代数和恒等于零。 4、描述反馈电路的概念,列举他们的应用? 反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。 反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。负反馈的优点:降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用。 电压(流)负反馈的特点:电路的输出电压(流)趋向于维持恒定。 5、有源滤波器和无源滤波器的区别? 无源滤波器:这种电路主要有无源元件R、L和C组成 有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。 集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 6、基本放大电路的种类及优缺点,广泛采用差分结构的原因。 答:基本放大电路按其接法的不同可以分为共发射极放大电路、共基极放大电路和共集电极放大电路,简称共基、共射、共集放大电路。 共射放大电路既能放大电流又能放大电压,输入电阻在三种电路中居中,输出电阻较大,频带较窄。常做为低频电压放大电路的单元电路。 共基放大电路只能放大电压不能放大电流,输入电阻小,电压放大倍数和输出电阻与共射放大电路相当,频率特性是三种接法中最好的电路。常用于宽频带放大电路。 共集放大电路只能放大电流不能放大电压,是三种接法中输入电阻最大、输出电阻最小的电路,并具有电压跟随的特点。常用于电压放大电路的输入级和输出级,在功率放大电路中也常采用射极输出的形式。 广泛采用差分结构的原因是差分结构可以抑制零点漂移现象。 ?7、二极管主要用于限幅,整流,钳位. ?判断二极管是否正向导通: 1.先假设二极管截止,求其阳极和阴极电位; 2.若阳极阴极电位差>UD ,则其正向导通; 3.若电路有多个二极管,阳极和阴极电位差最大的二极管优先导通;其导通后,其阳极阴极电位差被钳制在正向导通电压(0.7V 或0.3V );再判断其它二极管.

数电和模电知识点

模电复习资料 第一章半导体二极管 一.半导体的基础知识 1.半导体---导电能力介于导体和绝缘体之间的物质(如硅Si、锗Ge)。 2.特性---光敏、热敏和掺杂特性。 3.本征半导体----纯净的具有单晶体结构的半导体。 4. 两种载流子----带有正、负电荷的可移动的空穴和电子统称为载流子。 5.杂质半导体--在本征半导体中掺入微量杂质形成的半导体。体现的是半导体的掺杂特性。 *P型半导体:在本征半导体中掺入微量的三价元素(多子是空穴,少子是电子)。 *N型半导体: 在本征半导体中掺入微量的五价元素(多子是电子,少子是空穴)。6. 杂质半导体的特性 *载流子的浓度---多子浓度决定于杂质浓度,少子浓度与温度有关。 *体电阻---通常把杂质半导体自身的电阻称为体电阻。 *转型---通过改变掺杂浓度,一种杂质半导体可以改型为另外一种杂质半导体。 7. PN结 * PN结的接触电位差---硅材料约为0.6~0.8V,锗材料约为0.2~0.3V。 * PN结的单向导电性---正偏导通,反偏截止。 8. PN结的伏安特性 二. 半导体二极管 *单向导电性------正向导通,反向截止。 *二极管伏安特性----同PN结。 *正向导通压降------硅管0.6~0.7V,锗管0.2~0.3V。 *死区电压------硅管0.5V,锗管0.1V。 3.分析方法------将二极管断开,分析二极管两端电位的高低: 若 V阳 >V阴( 正偏 ),二极管导通(短路); 若 V阳

2) 等效电路法 直流等效电路法 *总的解题手段----将二极管断开,分析二极管两端电位的高低: 若 V阳 >V阴( 正偏 ),二极管导通(短路); 若 V阳

模电数电复习题(已整理)

第1章常用半导体器件 自测题 三、写出图Tl.3所示各电路的输出电压值,设二极管导通电压 U D=0.7V。 图T1.3 解:U O1=1.3V, U O2=0V, U O3=-1.3V, U O4=2V, U O5=1.3V, U O6=-2V。 四、已知稳压管的稳压值U Z=6V,稳定电流的最小值I Zmin=5mA。求图Tl.4所示电路中U O1和U O2各为多少伏。 (a) (b) 图T1.4 解:左图中稳压管工作在击穿状态,故U O1=6V。 右图中稳压管没有击穿,故U O2=5V。

五、电路如图T1.5所示,V CC=15V,=100,U BE=0.7V。 试问: (1)R b=50k时,U o=? (2)若T临界饱和,则R b=? 解:(1)26 BB BE B b V U I A R μ - ==, 2.6 C B I I mA β ==, 2 O CC C c U V I R V =-=。图T1.5 (2)∵ 2.86 CC BE CS c V U I mA R - ==,/28.6 BS CS I I A βμ == ∴45.5 BB BE b BS V U R k I - ==Ω 习题 1.2电路如图P1.2所示,已知10sin i u tω =(V),试画出i u与o u的波形。设二极管导通电压可忽略不计。 图P1.2 解图P1.2 解: i u与o u的波形如解图Pl.2所示。

1.3电路如图P1.3所示,已知t u i ωsin 5=(V ),二极管导通电压 U D =0.7V 。试画出i u 与o u 的波形图,并标出幅值。 图P1.3 解图 P1.3 解:波形如解图Pl.3所示。 第2章 基本放大电路 2.7电路如图P2.7所示,晶体管的β=80 ,' 100bb r =Ω。分别计算 L R =∞ 和3L R k =Ω时的 Q 点、u A 、i R 和o R 。 图P2.6 图P2.7

数电 模电面试总结

一、模拟电路 1基尔霍夫定理的内容是什么?(仕兰微电子) 基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电荷与流出同一个节点的电荷相等. 基尔霍夫电压定律是一个能量守恒定律,即在一个回路中回路电压之和为零. 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y 和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R 上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC

注电基础考试总结

注电基础考试总结 一、总体思路 对于注电基础考试,要在有限的时间和精力下,取得考试的成功,正确的备考思路是关键。以下两点是复习过程的指导观念: 1、复习只是为了考试拿证,分数是唯一的目标,一切的复习都要 围绕分数来进行。该拿的分一定要拿到,该舍的分要果断舍。 注重复习内容的性价比,只抓能得分的重点内容,不被庞杂艰 深的学科知识所淹没。 2、真题是重中之重。注电基础考试题型重复性非常大,甚至出现 原题。做会做熟历年真题是复习的关键所在。 二、参考书 1、上午公共基础: A 《注册电气工程师执考公共基础考试复习教程》(天大教材)

当当网连接: https://www.360docs.net/doc/2e5339886.html,/23289735.html#ddclick?act=cl ick&pos=23289735_0_1_q&cat=&key=%D7%A2%B2%E1%B5%E7%C6%F8%B9 %A4%B3%CC%CA%A6&qinfo=150_1_48&pinfo=&minfo=&ninfo=&custid= &permid=20140108091630123218804483572688999&ref=http%3A%2F% https://www.360docs.net/doc/2e5339886.html,%2F&rcount=&type=&t=1389143798000

B《注册工程师执业资格考试公共基础知识真题解析》 当当网连接: https://www.360docs.net/doc/2e5339886.html,/22723798.html#ddclick?act=cl ick&pos=22723798_0_1_q&cat=&key=%C7%AE%C3%F1%B8%D5&qinfo=23 _1_48&pinfo=&minfo=&ninfo=&custid=&permid=20140108152228181 121060954671941825&ref=http%3A%2F%https://www.360docs.net/doc/2e5339886.html,%2F&rco unt=&type=&t=1389165743000 2、下午专业基础 A 《2013注册电气工程师执业资格考试专业基础高频考 点解析》

最新模电数电复习题(已整理)

第1章 常用半导体器件自测题 三、写出图Tl.3 所示各电路的输出电压值,设二极管导通电压U D =0.7V 。 图T1.3 解:U O1=1.3V , U O2=0V , U O3=-1.3V , U O4=2V , U O5=1.3V , U O6=-2V 。 四、已知稳压管的稳压值U Z =6V ,稳定电流的最小值I Zmin =5mA 。求图Tl.4 所示电路中U O1和U O2各为多少伏。 (a) (b) 图T1.4 解:左图中稳压管工作在击穿状态,故U O1=6V 。 右图中稳压管没有击穿,故U O2=5V 。 五、电路如图T1.5所示,V CC =15V ,β=100,U BE =0.7V 。 试问: (1)R b =50k Ω时,U o=? (2)若T 临界饱和,则R b =? 解:(1)26BB BE B b V U I A R μ-= =, 2.6C B I I mA β==,

2O CC C c U V I R V =-=。 图T1.5 (2)∵ 2.86CC BE CS c V U I mA R -= =, /28.6BS CS I I A βμ== ∴45.5BB BE b BS V U R k I -= =Ω 习题 1.2电路如图P1.2 所示,已知10sin i u t ω=(V ),试画出i u 与o u 的波形。设二极管导通电压可忽略不计。 图P1.2 解图P1.2 解:i u 与o u 的波形如解图Pl.2所示。 1.3电路如图P1.3所示,已知t u i ωsin 5=(V ),二极管导通电压U D =0.7V 。试画出i u 与 o u 的波形图,并标出幅值。 图P1.3 解图P1.3 解:波形如解图Pl.3所示。

模电数电笔试面试题目大全

模电数电面试笔试题目大全 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反 馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非 线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺 点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的 运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点 的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求绘制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤 波器。当RC<

数电模电超有用知识点,值得拥有

《数字电子技术》重要知识点汇总 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD 、格雷码之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL 门电路典型高电平为3.6 V ,典型低电平为0.3 V 。 3)OC 门和OD 门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH 或V NL 、扇出系数N o 、平均传输时间t pd 。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC 门和OD 门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C B A C B A Y ++=+=,则输出Y 见上。 3.基本逻辑运算的特点: 与 运 算:见零为零,全1为1;或 运 算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零; 非 运 算:零 变 1, 1 变 零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。

模电数电复习考试题(已整理)

第1章 常用半导体器件 自测题 三、写出图Tl.3 所示各电路的输出电压值,设二极管导通电压U D =0.7V 。 图T1.3 解:U O1=1.3V , U O2=0V , U O3=-1.3V , U O4=2V , U O5=1.3V , U O6=-2V 。 四、已知稳压管的稳压值U Z =6V ,稳定电流的最小值I Zmin =5mA 。求图Tl.4 所示电路中U O1和U O2各为多少伏。 (a) (b) 图T1.4 解:左图中稳压管工作在击穿状态,故U O1=6V 。 右图中稳压管没有击穿,故U O2=5V 。 五、电路如图T1.5所示,V CC =15V ,β=100,U BE =0.7V 。 试问: (1)R b =50k Ω时,U o=? (2)若T 临界饱和,则R b =? 解:(1)26BB BE B b V U I A R μ-= =, 2.6C B I I mA β==, 2O CC C c U V I R V =-=。 图T1.5

(2)∵ 2.86CC BE CS c V U I mA R -= =, /28.6BS CS I I A βμ== ∴45.5BB BE b BS V U R k I -= =Ω 习题 1.2电路如图P1.2 所示,已知10sin i u t ω=(V ),试画出i u 与o u 的波形。设二极管导通电压可忽略不计。 图P1.2 解图P1.2 解:i u 与o u 的波形如解图Pl.2所示。 1.3电路如图P1.3所示,已知t u i ωsin 5=(V ),二极管导通电压U D =0.7V 。试画出i u 与 o u 的波形图,并标出幅值。 图P1.3 解图P1.3 解:波形如解图Pl.3所示。

模电数电面试的复习知识点.doc

电路与系统复试专题 模拟电路 1.有源滤波器和无源滤波器的区别 答:无源滤波器:这种电路主要有无源元件R、L和C组成 有源滤波器:集成运放和R、C组成。具有不用电感、体积小、重量轻等优点。集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 2.什么是负载?什么是带负载能力? 答:把电能转换成其他形式的能的装置叫做负载。对于不同的负载,电路输出特性(输出电压,输出电流)几乎不受影响,不会因为负载的剧烈变化而变,这就是所谓的带载能力 3.什么是输入电阻和输出电阻? 答:在独立源不作用(电压源短路,电流源开路)的情况下,由端口看入,电路可用一个电阻元件来等效。这个等效电阻称为该电路的输入电阻。从放大电路输出端看进去的等效内阻称为输出电阻Ro。 4.什么叫差模信号?什么叫共模信号? 答:两个大小相等、极性相反的一对信号称为差模信号。差动放大电路输入差模信号(uil =-ui2)时,称为差模输入。两个大小相等、极性相同的一对信号称为共模信号。差动放大电路输入共模信号(uil =ui2)时,称为共模输入。在差动放大器中,有用信号以差模形式输入,干扰信号用共模形式输入,那么干扰信号将被抑制的很小。 5.怎样理解阻抗匹配? 答:阻抗匹配是指信号源或者传输线跟负载之间的一种合适的搭配方式。阻抗匹配分为低频和高频两种情况讨论。 低频:当负载电阻跟信号源内阻相等时,负载可获得最大输出功率,这就是我们常说的阻抗匹配之一。对于纯电阻电路,此结论同样适用于低频电路及高频电路。当交流电路中含有容性或感性阻抗时,结论有所改变,就是需要信号源与负载阻抗的的实部相等,虚部互为相反数,这叫做共扼匹配。 在高频电路中:如果传输线的特征阻抗跟负载阻抗不相等(即不匹配)时,在负载端就会产生反射。为了不产生反射,负载阻抗跟传输线的特征阻抗应该相等,这就是传输线的阻抗匹配。 6. 解释电流偏置的产生电路。 答:偏置电路:以常用的共射放大电路说吧,主流是从发射极到集电极的IC,偏流就是从发射极到基极的IB。相对与主电路而言,为基极提供电流的电路就是所谓的偏置电路。偏置电路往往有若干元件,其中有一重要电阻,往往要调整阻值,以使集电极电流在设计规范内。这要调整的电阻就是偏置电阻。 7.偏置电阻: 答:在稳态时(无信号)通过电阻为电路提供或泄放一定的电压或电流,使电路满足工作需求,或改善性能。 8. 什么是电压放大?什么是电流放大? 什么是功率放大? 答:电压放大就是只考虑输出电压和输入电压的关系。比如说有的信号电压低,需要放大后才能被模数转换电路识别,这时就只需做电压放大。

模电学习心得集

个人建议:认真分析几个典型电路,主要掌握晶体管的等效模型,以及在电路中怎么等效。其他的都很容易解决了。只要会等效了,模电就是完全是电路的内容。其实一点都不可怕,开始不要太关注乱七八糟的内容,抓住主要的,次要的回过头来很容易解决。 我现在大三,自动化的。个人建议就是,不要要求每字每句都会,大概的了解那些理论,就可以。在以后的学习中,你会慢慢地发现,理解原来的知识的! 二极管的特性、晶体管的基本放大电路、集成运放的虚断虚短,稳压电路, 电路中电阻电容等器件的作用.... 笔试通常都考这些~~ 首先该明白这门课的研究对象,其实这门课可以说是电路理论的延伸。其中要运用到电路理论的分析方法,所不同的是,新增加了不少复杂的电气元器件。 说到元器件,首先接触到的便是二、三极管。不论哪种版本的教材,一开始都会介绍pn结的特性,个人觉得可以不要太在乎里面的结构,但其特性方程是一定要记得的。然后,二极管比较简单,就是一个单一的pn结,在电路中的表现在不同情况下可以用不同的模型解决(理想模型、恒压降模型、小信号模型,前两者是用于直流分析的,而最后一个是用于交流分析的)。而对于三极管,就相对来说复杂些,在此本人不想说书上有的东西,只想强调一下学习中该注意的问题: 1、对于三极管,它总共有三种工作状态,当它被放在电路中时,我们所要做的第一件事就是判断它在所给参数下的工作状态。(在模电的习题中,除非那道题是专门地考你三极管的状态,否则都是工作在放大区,因为只有这样,管子才能发挥我们想它有的效用。但在数电中,我们却是靠管子的不同状态的切换来做控制开关用的) 2、既然管子基本在放大区,那么它的直流特性就有:be结的电压为0.7V(硅管,锗管是0.2V),发射极电流约等于集电集电流并等于基极电流的β倍。通过这几个已知的关系,我们可以把管子的静态工作点算出来——所谓静态工作点就是:ce间电压,三个极分别的电流。 3、为什么我们得先算出静态工作点呢?这就要弄清直流和交流之间的关系了:在模电里,我们研究的对象都是放大电路,而其中的放大量都是交流信号,并且是比较微弱的交流信号。大家知道,三极管要工作是要一定的偏置条件的,而交流信号又小又有负值,所以我们不能直接放大交流信号,在此我们用的方法就是:给管子一个直流偏置,让它在放大区工作,然后在直流上叠加一个交流信号(也就是让电压波动,不过不是像单一的正弦波一样围绕0波动,而是围绕你加的那个直流电压波动),然后由于三极管的性质,就能产生放大的交流信号了。 4、关于分析电路:从以上的叙述,我们可以看出分析电路应该分为两部分:直流分析和交流分析。不同的分析下,电路图是不一样的,这是因为元件在不同的量下,它的特性不同。(例如电容在直流下就相当于开路,而在交流下可以近似为短路)。而三极管,在交流下就有一个等效模型,也就是把be间等效为一个电阻,ce间等效为一个受控电流源,其电流值为be间电流的贝塔倍。这样分析就可以很好的进行下去了

模电数电面试知识复习过程

模电数电面试知识

电路与系统复试专题 模拟电路 1.有源滤波器和无源滤波器的区别 答:无源滤波器:这种电路主要有无源元件R、L和C组成 有源滤波器:集成运放和R、C组成。具有不用电感、体积小、重量轻等优点。集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 2.什么是负载 ?什么是带负载能力? 答:把电能转换成其他形式的能的装置叫做负载。对于不同的负载,电路输出特性(输出电压,输出电流)几乎不受影响,不会因为负载的剧烈变化而变,这就是所谓的带载能力 3.什么是输入电阻和输出电阻 ? 答:在独立源不作用(电压源短路,电流源开路)的情况下,由端口看入,电路可用一个电阻元件来等效。这个等效电阻称为该电路的输入电阻。从放大电路输出端看进去的等效内阻称为输出电阻Ro。 4.什么叫差模信号?什么叫共模信号? 答:两个大小相等、极性相反的一对信号称为差模信号。差动放大电路输入差模信号(uil =-ui2)时,称为差模输入。两个大小相等、极性相同的一对信号称为共模信号。差动放大电路输入共模信号(uil =ui2)时,称为共模输入。在差动放大器中,有用信号以差模形式输入,干扰信号用共模形式输入,那么干扰信号将被抑制的很小。 5.怎样理解阻抗匹配? 答:阻抗匹配是指信号源或者传输线跟负载之间的一种合适的搭配方式。阻抗匹配分为低频和高频两种情况讨论。 低频:当负载电阻跟信号源内阻相等时,负载可获得最大输出功率,这就是我们常说的阻抗匹配之一。对于纯电阻电路,此结论同样适用于低频电路及高频电路。当交流电路中含有容性或感性阻抗时,结论有所改变,就是需要信号源与负载阻抗的的实部相等,虚部互为相反数,这叫做共扼匹配。 在高频电路中:如果传输线的特征阻抗跟负载阻抗不相等(即不匹配)时,在负载端就会产生反射。为了不产生反射,负载阻抗跟传输线的特征阻抗应该相等,这就是传输线的阻抗匹配。 6. 解释电流偏置的产生电路。 答:偏置电路:以常用的共射放大电路说吧,主流是从发射极到集电极的IC,偏流就是从发射极到基极的IB。相对与主电路而言,为基极提供电流的电路就是所谓的偏置电路。偏置电路往往有若干元件,其中有一重要电阻,往往要调整阻值,以使集电极电流在设计规范内。这要调整的电阻就是偏置电阻。 7.偏置电阻: 答:在稳态时(无信号)通过电阻为电路提供或泄放一定的电压或电流,使电路满足工作需求,或改善性能。 8. 什么是电压放大?什么是电流放大? 什么是功率放大? 答:电压放大就是只考虑输出电压和输入电压的关系。比如说有的信号电压低,需要放大后才能被模数转换电路识别,这时就只需做电压放大。

数电课程总结 电气

?数字电路与逻辑设计?课程总结 在不知不觉中,学期已经过半了,上半学期我们主要学习了三门课程:自控数电信号,不知不觉中,数电课程已经结束了,静下心来想想,数电课程真的让我学到了好多东西。 早就听老师说,我们信电学院有几门课必须要学好学精,其中之一就有数电这门课程,当然还有模电,因为它们是我们信电学院学的大部分课程的基础,所以在刚开始学这门课时我就跟自己说要学好这门课程,接触这门课的前一段时间,感觉课程有点难,关键是不知那时该怎么学这门课,后来随着接触时间的增多,逐渐找到了学习这门课的方法,接下来的一段时间,在学起来就容易多了,所以现在的感觉是这门课,其实并没有那么难,当然你要有正确的方法。我总结了一下,大概有这么几条。 首先,课堂上要认真听讲,尽自己最大能力消化课堂的东西,我有这样的感觉,自己啃书本自学非常难懂的知识经过老师的讲解,接受起来就简单一些,所以我一般时候是不逃课的,尤其是像数电这样的课程,我心里可清楚,这一节课的知识要是自己自学,就要花几倍的时间,而且还不一定能明白,这是很划不来的,而且课程要不间断的听,章和章之间的联系非常紧密,一旦一个地方听不懂,后来想听明白就要花一番功夫,刚开始的几节课,内容还不是很多,但随着课程的进行,每节课的内容越来越多,听起来就感觉很吃力了,但吃力也要听,能听懂多少算多少。坚持下来就会发现你已经把数电学懂了。 其次,课堂下要认真复习思考老师在课堂上讲过的东西,正如刚

才所说,后来的课程内容很多,你在课堂上是无法吸收全部内容的,所以你在课堂下必须花一点时间,想一想老师讲的东西,这样印象才会更深。 这是自己学习数电的一点方法,在侃侃自己数电课学到的内容,第一章我们学习了数制和编码,尽管以前学过这方面的内容,但还是又重温了一遍。第二章我们学习了逻辑代数基础,这才算是对数电有了直观的认识,开始接触数电了,这些内容当然只是数电的最基础知识,接下来开始进入正题,逻辑门电路组合逻辑电路使我们学习的第一部分重要内容,接下来就是集成触发器时序逻辑电路,这是我们学习的第二大内容,可以这样讲,学完这两部分内容数电的大半课程也就结束了,后来我们又学习了脉冲波形的产生和整形,认识了555芯片,老师又带我们认识了,半导体存储器,了解了数模和模数转换,老师告述我们,这是以后对我们很有用的知识。仔细想想数电其实就学了这么东西,当然课堂上讲述的知识对我们是重要的,老师也给我们讲了许多课堂之外的东西对我们而言也是很有用处的,比如电子设计大赛的一些情况,我们中有很多人,对电子设计是非常感兴趣的,老师讲的这些东西是无法从别人那里得到的,毕竟老师您已经在这方面搞了好多年了,你的经验总结很有价值,当然老师您教我们的做人道理,我们也不会忘记,你常常说做人要诚实,用以前带过的学生的例子教育我们,这一点我们一定牢记在心。 最后再次感谢带我们数电课程的袁老师,和带我们数电实验的老师,因为你们不仅带给我们数电知识,也给了我们一笔不小的精神财富!

模电数电面试知识

电路与系统复试专题 模拟电路 1.有源滤波器和无源滤波器的区别 答:无源滤波器:这种电路主要有无源元件 R 、L 和C 组成 有源滤波器:集成运放和R C 组成。 具有不用电感、体积小、重量轻等优点。 集成运放的开环电压增益和输入阻抗均很高, 输出电阻小,构成有源滤波电路后 还具有一定的电压放大和缓冲作用。 但集成运放带宽有限,所以目前的有源滤波 电路的工作频率难以做得很高。 2■什么是负载什么是带负载能力 答:把电能转换成其他形式的能的装置叫做负载。 对于不同的负载,电路输出特 性(输出电压,输出电流)几乎不受影响,不会因为负载的剧烈变化而变,这就 是所谓的带载能 力 3. 什么是输入电阻和输出电阻 答:在独立源不作用(电压源短路,电流源开路)的情况下,由端口看入,电路 可用一个电阻元 件来等效。这个等效电阻称为该电路的输入电阻。 从放大电路输 出端看进去的等效内阻称为输出电阻 Roo 4. 什么叫差模信号什么叫共模信号 答:两个大小相等、极性相反的一对信号称为差模信号。差动放大电路输入差模 信号(uil =- ui2 )时,称为差模输入。两个大小相等、极性相同的一对信号称 为共模信号。差动放大电路输 入共模信号(uil =ui2 )时,称为共模输入。在差 动放大器中,有用信号以差模形式输入,干 扰信号用共模形式输入, 号将被抑制的很小。 5. 怎样理解阻抗匹配 答:阻抗匹配是指信号源或者传输线跟负载之间的一种合适的搭配方式。 配分为低频和高频两种情况讨论。 低频:当负载电阻跟信号源内阻相等时, 常说的阻抗匹配之一。对于纯电阻电路,此结论 同样适用于低频电路及高频电路。 当交流电 路中含有容性或感性阻抗时, 抗的的实部相等,虚部互为相反数,这叫做共扼匹配。 在高频电路中:如果传输线的特征阻抗跟负载阻抗不相等(即不匹配)时,在负 载端就会产生反 射。为了不产生反射,负载阻抗跟传输线的特征阻抗应该相等, 这就是传输线的阻抗匹配。 6. 解释电流偏置的产生电路。 答:偏置电路:以常用的共射放大电路说吧,主流是从发射极到集电极的 IC ,偏 流就是从发射极 到基极的IB 。相对与主电路而言,为基极提供电流的电路就是所 谓的偏置电路。偏置电路往往有若干元件,其中有一重要电阻,往往要调整阻值, 以使集电极电流在设计规范内。这要调整的电阻就是偏置电阻。 7. 偏置电阻: 答:在稳态时(无信号)通过电阻为电路提供或泄放一定的电压或电流,使电路 满足工作需求, 或改善性能。 8. 什么是电压放大什么是电流放大 什么是功率放大 答:电压放大就是只考虑输出电压和输入电压的关系。比如说有的信号电压低, 需要放大后才能 被模数转换电路识别,这时就只需做电压放大。 那么干扰信 阻抗匹 负载可获得最大输出功率, 这就是我们 结论有所改变,就是需要信号源与负载阻

(精选)模电期末考试题及答案

《模拟电子技术》 一、判断下列说法是否正确,用“×”或“√”表示判断结果。(10分) 1只要满足相位平衡条件,电路就会产生正弦波振荡。 (×)2引入直流负反馈可以稳定静态工作点。 (√)3负反馈越深,电路的性能越稳定。 (×)4零点漂移就是静态工作点的漂移。 (√)5放大电路采用复合管是为了增大放大倍数和输入电阻。 (√)6镜像电流源电路中两只晶体管的特性应完全相同。 (√)7半导体中的空穴带正电。 (√)8 P型半导体带正电,N型半导体带负电。 (×)9实现运算电路不一定非引入负反馈。 (×)10凡是引入正反馈的集成运放,一定工作在非线性区。 (×)二、选择填空(10分) (1)为了增大输出电阻,应在放大电路中引入 A ;为了展宽频带,应在放大电路中引入 D 。 (A)电流负反馈(B)电压负反馈(C)直流负反馈(D)交流负反馈(2)在桥式(文氏桥)RC正弦波振荡电路中, C 。 (A)φA=-1800,φF=+1800 (B)φA=+1800,φF=+1800 (C)φA=00,φF=00(3)集成运放的互补输出级采用 B 。 (A)共基接法(B)共集接法(C)共射接法(D)差分接法 (4)两个β相同的晶体管组成复合管后,其电流放大系数约为 B 。 (A)β(B)β2 (C)2β(D)1+β (5)在(A)、(B)、(C)三种电路中输入电阻最大的电路是 B ; 既能放大电流,又能放大电压的电路是 C 。 (A)共基放大电路(B)共集放大电路(C)共射放大电路

(6)当PNP型晶体管工作在放大区时,各极电位关系为u C B u B B u E 。 (A) > (B) < (C) = (D)≤ (7)硅二极管的正向导通压降比锗二极管的 A 。 (A)大(B)小(C)相等 三、(5分)图示电路中二极管为理想二极管,请判断它是否导通,并求出u0。解:二极管D截止,u0=-6V 四、(10分)在一个放大电路中,三只三极管三个管脚①、②、③的电位分别如表所示,将每只管子所用材料(Si或Ge)、类型(NPN或PNP)及管脚为哪 管号 T 1 T 2 T 3 管 号 T 1 T 2 T 3 管脚电位(V)① 0.7 6.2 3 电 极 名 称 ① ② 0 6 10② ③ 5 3 3.7 ③ 材料类型 五、(10分)在图示电路中,已知晶体管静态时U BEQ =0.7V,电流放大系数为β=80,r be=1.2 kΩ,R B=500 kΩ,R C=R L=5 kΩ,V CC=12V。 (1)估算电路的静态工作点、电压放大倍数、输入电阻和输出电阻。 (2)估算信号源内阻为R S =1.2kΩ时, S us U U A 的数值。

相关文档
最新文档