3.1组合逻辑电路的分析

3.1组合逻辑电路的分析
3.1组合逻辑电路的分析

第三章组合逻辑电路

基本要求:

熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象

本章主要内容:组合逻辑电路的分析方法和设计方法。

本章重点:

组合逻辑电路的分析方法

组合逻辑电路的设计方法

常用逻辑部件的功能

本章难点:

组合逻辑电路的设计

一、组合逻辑电路的特点

若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。

组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。

注意:1.电路中不存在输出端到输入端的反馈通路。

2.电路不包含记忆元件。

3.电路的输出状态只由输入状态决定。

二、组合逻辑电路的分析方法

分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。

分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。

2.对逻辑函数化简。

3.由逻辑函数列出对应的真值表。

4.由真值表判断组合电路的逻辑功能。

三、组合电路的分析举例

1、试分析图3-1所示的单输出组合逻辑电路的功能

解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式:

Z1=ABC

F=Z1+Z2 (2)对该逻辑表达式进行化简:

(3)根据化简后的函数表达式,列出真值表3-1。

(4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

2.试分析图3-2所示的输出组合逻辑电路的功能

解:(1)由G1、G2、G3、G4、G5各个门电路的输入、输出关系,推出整个组合逻辑电路的表达式:

(2)对该逻辑表达式进行化简:

(3)根据化简后的函数表达式,列出真值表3-2。

(4)若设A、B各为一位二进制加数,则从真值表中可以看出,S为两加数相加后的一位和、C为两加数相加后的进位值。由此可见,这个组合逻辑电路实现了加法器的功能。由于这种加法器不计低位来的进位,所以称它为“半加器”(Half Adder)。半加器是运算器的基本单元电路,其符号图见图3-4。

一、组合逻辑电路的设计

设计的含义:根据规定的逻辑功能,画出实现该功能的逻辑电路图。

设计的步骤:

1.根据所需的逻辑功能,弄清输入、输出间的逻辑规定,列出满足要求的真值表。

2.由真值表列出逻辑函数的标准与或式,再化简。

3.根据选定的门电路,将逻辑函数转换成所需的表达式。

4.由所得的逻辑表达式画出逻辑电路图。

注意:其中第一步是逻辑电路设计的基础。

二、组合逻辑电路设计举例

1.单输出组合逻辑电路设计举例

例:三人按少数服从多数原则对某事进行表决,但其中一人有表决权,即只要他同意,不论同意者是否达到多数,表决将通过。试用“与非”门设计该表决器。

解:(1)由题意可知,该表决器有三个输入变量和一个输出变量。

设A、B、C为输入变量(“1”表示同意,“0”表示不同意),且A为有表决权的变量,F为输出变量(“1”表示通过,“0”表示不通过)。将表决器的逻辑功能描述为:A或者B、C均为“1”时, F才为“1”,否则F为“0”。由此,可以列出真值表如表3-3所示。

(2)由真值表列出逻辑表达式为:

用卡诺图化简逻辑函数(如图3-5(a)所示),得到最简“与或”表达式:

F=A+BC

(3)将表达式转换成用“与非”形式:

(4)根据逻辑表达式画出如图3-5(b)所示的逻辑电路。这里假设系统

能提供所有的原、反变量,否则还需增加一个“非”门以实现。

2、多输出组合逻辑电路设计

例:某工厂有三个用电量相同的车间和一大、一小两台自备发电机,大发电机的供电量是小的两倍。若只有一个车间开工,小发电机便可以满足供电要求;若两个车间同时开工,大发电机可满足供电要求;若三个车间同时开工,需大、小发电机同时启动才能满足供电要求。试用“与非”门设计一个控制器,以实现对两个发电机启动的控制。

解:(1)由题意可知,该控制器有三个输入变量和两个输出变量。设A、B、C为三个车间开工情况输入变量(“1”表示开工,“0”表示关闭)。可将控制器的逻辑功能描述为:当A、B、C中仅有一个为“1”时,N为“1”;当A、B、C 中有两个同时为“1”时,M为“1”;当A、B、C全为“1”时,M、N均为“1”;当A、B、C全为“0”时,M、N均为“0”。由此列出真值表如表3-4所示。

(2)由真值表列出逻辑表达式,并利用图3-6(a)的卡诺图将它们转换为最简表达式:

(3)将表达式转换成用“与非”形式:

(4)根据逻辑表达式画出如图3-6(b)所示的逻辑电路。这里假设系统

能提供所有的原、反变量,否则还需增加三个“非”门以实现

一、险象的概念.

前面讨论的是理想门电路,没有考虑信号的门延时。实际的门电路,存在门延时。信号经过不同的路径到达某点时,会产生时差,这种时差现象称为竞争。竞争现象可能使电路产生暂时性的错误输出,有时这种错误是不允许的。我们把这种由竞争产生的错误输出称为组合电路的险象。

二、险象产生的原因

1.见图3-7,如不考虑门延时,F=A+=1。如考虑G1门延时,则当A由10时,经过一个短暂的瞬间才由01,即G2的输入端同时出现0,输出为0,这个结果是错误的,电路出现了险象,称0冒险。

2.见图3-8,如不考虑门延时,F=A=0。若考虑G1门延时,则当A 由01时,经过一个短暂的瞬间才由10,即G2的输入端同时出现1,输出为1,这个结果是错误的,电路出现了险象,称1冒险。

三、险象的检查

检查某个逻辑门的两个输入是否存在互补变化,可以作为判断该逻辑门输出是否出现险象的依据。分析该电路对应的函数式中是否出现“X+”或“X”

的形式。如存在,则该电路可能出现险象。

例1、判断函数 F1=AC+ B 是否存在险象。

解:由表达式可以看出,当C=B=1时,F1=A+,F1理应恒为“1”。

但此时当A由“1”变“0”、由“0”变“1”时,由于的变化滞后于A的变化,F1输出瞬间会出现负向窄脉冲干扰,即出现险象。

例2、判断函数 F2=AC+B+BC 是否存在险象。

解:所给表达式在任何条件下都不能简化成“X+”或“X”的形式,因而

该函数不存在险象。例如,当C=B=1时,F2=A++1=1,也即F2的

“或”门有三个输入端,其中一个恒为“1”。此时无论A、如何变化,也不管有

无时差,F2输出都稳定地保持为“1”,也即不会出现险象。

四、险象的消除

1.添加多余项

2.增加电路时延

3.引入选通脉冲

4.加入滤波电路

集成电路按照集成度的不同,可以分为小规模集成电路、中规模集成电路、大规模集成电路。

小规模集成电路仅是由门电路和触发器构成的;中规模集成电路是由一些相对独立的逻辑部件或功能模块(如:加法器、译码器等)构成的;大规模和超大规模集成电路是一个数字系统。

由于中规模集成电路具有通用性强、体积小、功耗低、可靠性高等一系列优点,在实际工程中为大多数数字逻辑设计者使用。本节介绍常用的中规模集成电路的外特性及如何使用这些模块。

一、全加器

加法器是计算机运算器的核心部件,它由多个全加器构成。

如n位加法器就是由n个全加器构成的。

全加器是可以将低位来的进位与两个一位二进制数一起相加,产生一位和值及一位向更高位进位的电路。简称为FA。

设两个一位二进制数分别为Ai、Bi,Ci-1是低位来的进位,Si是和值,Ci是向高位的进位。其真值表如表3-5所示,惯用符号如图3-9(a)所示。

从真值表列出全加器的逻辑表达式:

用n个全加器按图3-10连接起来,可以实现n位二进制数的加法运算。其中A1An,B1Bn分别为n位被加数和加数,S1Sn为n位和。各位串行连接形成进位链。在相加的过程中,低位产生的进位逐位传送到高位,这种进位方式被称为“行波进位”。由于高位相加必须等到低位相加完成并形成进位后才能进行,所以n位行波进位加法器的速度较慢。

为了提高加法器的运算速度,可以采用先行进位加法器。一旦参加运算的加数确定,便可以同时产生各位进位,实现多位二进制数的并行相加。

具有先行进位的四位二进制加法器7483中规模器件,引脚图如图3-11所示。

二、译码器

1、译码器的概念:

将二进制代码所表示的信息翻译出来的过程,称为译码。

实现译码功能的电路称为译码器。它是一个多输出的组合逻辑电路,每一个输出对应一个特定的输入组合。

2、二进制译码器:

输入是表示某种信息的二进制代码,对于任何一组输入代码取值,多个输出中只有唯一的一个呈现有效电平,其余都是无效的。以此表示翻译出来的不同信息。若定义“0”是有效电平,则“1”是无效电平,反之亦然。若输入n位二进制代码,则输出有2个。当输入端n=3时,输出端有8个,称为3-8译码器。典型的有74138译码器。

3、74138译码器

三个输入A0~A2的八种组合中的每一种,都唯一地使八个输出中的一个为有效电平(这里设为低电平,所以输出变量上面加“-”号)。还有三个使能控制端: G1,,仅当它们分别为1、0、0时,译码器被选通,处于正常工作状态,输出由输入决定。否则,译码器处于禁止状态。它的真值表(如表3-7),它的惯用符号如图3-12。

4、译码器的扩展

利用使能控制端可以实现译码器的扩展。

由两片74138译码器芯片扩展成4-16译码器的连接图3-13。E为“1”时,两芯片处于“禁止”状态。E为“0”时,当输入A3A0为

00000111时,即A3=0时,芯片1处于工作状态,芯片2处于禁止状态,输出反映了芯片1输入的变化,芯片2的输出均为“1”。当

输入A3A0为10001111时,即A3=1时,芯片1处于禁止状态,芯片2处于工作状态,输出反映了芯片2输入的变化,芯片1的输出均为“1”。

5、利用译码器实现函数发生器

二进制译码器的每个输出,都唯一地对应输入变量的一种组合,即对应由输入变量构成的一个最小项。而任何逻辑函数都可以表示成最小项之和的形式,所以利用译码器的输出端,再配合门电路,就可以实现任何组合逻辑函数。

设74138译码器的输入端A2、A1、A0接函数的输入变量A、B、C,则译码器输出与输入之间的逻辑关系为:

对任何逻辑函数,先将它们表示成标准“与或”式,然后转换成用译码器输出变量表示的形式。

例如有两个输出变量的函数P1、P2,作如下的转换:

图3-14即是连接图,实际上它实现的是前面讲过的全加器的功能

三、编码器

1、编码器的概念

编码是将含有特定意义的数字或符号信息转换成相应的若干位二进制代码的过程,它是译码的逆过程。具有编码功能的组合电路称为编码器。

例如:各类BCD码编码器,就是将“0”“9”这些十进制数字转换成对应的四位BCD码的组合逻辑电路。

2、8421BCD码编码器

它的真值表如表3-8。输入变量有10个,且为高电平有效,任何时刻只有一个有效,所以此真值表中忽略了许多无关项。

经过化简得到输出与输入的关系为:

A=D1+D3+D5+D7+D9 B=D2+D3+D6+D7

C=D4+D5+D6+D7D=D8+D9利用门电路即可实现此编码器。此编码器的输入端不允许有两位同时有效,实际中我们常使用优先编码器。

3、74147优先编码器

优先编码器对所有输入位预设了优先级别,当输入中出现两位以上的有效信号时,其中优先级高的输入起作用,其余输入被忽略。74147优先编码器的真值表如下(表3-9)。

输入信号和输出信号均用反码表示。优先级最高,最低。

例如:当=0时,不管其余输入如何都按编码,只有=1,再看是否有效,其余类推。

74147优先编码器的惯用符号如图3-15

四、数据选择器

1、数据选择器的概念

将多个输入数据中的某一个在地址输入信号的控制下,有选择地传送到输出端的过程称为数据选择,实现数据选择功能的电路称作数据选择器,也叫多路选择开关。

2、八选一数据选择器74151

数据选择器74151真值表如表3-10,其惯用符号如图3-16所示。

当使能端=1时,数据选择器不能正常工作。当=0时,数据选择器正常工作。其输出Y与输入数据D0D7的逻辑关系为:

由上式可见,当某个mi为“1”时。输出Y = Di,实现了数据选择。

常用的还有双四选一数据选择器74153、十六选一数据选择器74150等。

3、函数发生器的实现

数据选择器的输出表达式本身就表示一个与或函数,只要将数据输入端和数据选择输入端赋上数据,就可以实现特定的函数。

例1:用74151实现三变量函数

解:先做下面转换:

令:A2=A,A1=B,A0=C

则:D2=D4=0

此时数据选择器的输出Y与函数的输出F完全一致。据此便可以画出该函数的连接图(见图3-17)。

例2:用74151实现四变量函数F(A,B,C,D)=(0,2,7,8,13)

解:将原始函数中的三个输入变量作为数据选择器的地址选择输入端,其余一个输入变量作为数据选择器的数据输入端。

令:A作为数据输入,B、C、D作为地址选择输入。

A2=B , A1=C , A0=D

则推出:D0=1 , D1=D3=D4=D6=0D2=D7= , D5=A

此时数据选择器的输出Y与函数F的输出完全一致。据此便可画出该函数的连接图3-18。

1.分析下面逻辑电路图,写出函数的表达式,并列出真值表。

解:由各门电路的输入、输出关系,推出整个组合逻辑电路的表达式,并化简:

列出真值表(如表3-1所示)。

2.用红、黄、绿三个指示灯表示三台设备的工作状况,绿灯亮表示设备全部正常;黄灯亮表示一台设备不正常;红、黄灯都亮表示三台设备都不正常。试列出控制电路的真值表,并选用合适的门电路来实现。

解:以R、Y、G分别表示红、黄、绿三个指示灯的状态,灯灭为“0”,灯亮为“1”;以A、B、C分别表示三台设备的工作状态。设备正常为“0”、设备不正常为“1”。根据题意列出真值表,由真值表写出逻辑表达式,再用合适的门电路实现。

3.用3-8译码器(74138)加少量与非门,实现下列函数:

解:函数恒等分析过程如下:

由上述分析结果,得到实现的相应逻辑电路图如下:

4.用74151数据选择器实现下列函数:

解:函数恒等分析过程如下:

由上述分析结果,令数据选择器:A2=A,A1=B,A0=C,

推出:D0=,D1=D3=D6=0,D2=D,D4=1,D5=1,D7=1;得到相应逻辑电路图如下:

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

组合逻辑电路实验与解答

湖北第二师范学院实验 组合逻辑电路 物机学院-11应用物理学 一、实验目的 1.掌握用与非门组成的简单电路,并测试其逻辑功能。 2.掌握用基本逻辑门设计组合电路的方法。 二、实验原理 数字电路按逻辑功能和电路结构的不同特点,可分为组合逻辑电路和时序逻辑电路两大类。组合逻辑电路是根据给定的逻辑问题,设计出能实现逻辑功能的电路。用小规模集成电路实现组合逻辑电路,要求是使用的芯片最少,连线最少。一般设计步骤如下: 1.首先根据实际情况确定输入变量、输出变量的个数,列出逻辑真值表。 2.根据真值表,一般采用卡诺图进行化简,得出逻辑表达式。 3.如果已对器件类型有所规定或限制,则应将函数表达式变换成与器件类型相适应 的形式。 4.根据化简或变换后的逻辑表达式,画出逻辑电路。 5.根据逻辑电路图,查找所用集成器件的管脚图,将管脚号标在电路图上,再接线 验证。 三、实验仪器及器件 数字实验箱一台,集成芯片74LS00一块、74LS20三块,导线若干。 四、实验内容 1.用非与门实现异或门的逻辑功能 (1) 用集成电路74LS00和74LS20(74LS20管脚见图1所示),按图2连接电路(自己设计接线脚标),A、B接输入逻辑,F接输出逻辑显示,检查无误,然后开启电源。 图1 74LS20集成电路管脚图 (2) 按表1的要求进行测量,将输出端F的逻辑状态填入表内.

表1 输出真值表 图 2-电路接线图 (3) 由逻辑真值表,写出该电路的逻辑表达式 F= B A B A ?+? 2. 用与非门组成“三路表决器” (1) 用74LS00和74LS20组成三路表决器,按图3连接电路(自己设计接线脚标),A ,B ,C 接输入逻辑,F 接输出逻辑显示,检查无误,然后开启电源。 (2) 按表2的要求进行测量,将输出端F 的逻辑状态填入表内。 A 表 2输出真值表 & B F C 图 3 电路接线图 3. 设计一个“四路表决器”逻辑电路并测试 设计一个四变量的多路表决器。当输入变量A 、B 、C 、D 有三个或三个以上为1时,输出F 为1;否则输出F 为0。 (1)根据设计要求列出表3四人表决器真值表。 (2)用卡诺图化简逻辑函数,写出逻辑 表达,F= D C B D C A D B A C B A ??+??+??+??. (3)用74LS20与非门实现“四人表决器”,画出实验电路,标出接线脚并测试,验证所列真值表。 输 入 输 出 A B F 0 0 0 0 1 1 1 0 1 1 1 0 输 入 输 出 A b CF 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 & & & & && & & & A B F

实验一-组合逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:计算机结构与逻辑设计实验 第一次实验 实验名称:组合逻辑电路 院(系):专业: 姓名:学号: 实验室: 实验组别: 同组人员:实验时间:2015年10月29 日 评定成绩:审阅教师:

一、实验目的 ①认识数字集成电路,能识别各种类型的数字器件和封装 ②掌握小规模组合逻辑和逻辑函数的工程设计方法 ③掌握常用中规模组合逻辑器件的功能和使用方法 ④学习查找器件资料,通过器件手册了解器件 ⑤了解面包板的基本结构、掌握面包板连接电路的基本方法和要求 ⑥了解实验箱的基本结构,掌握实验箱电源、逻辑开关和LED点平指示的 用法 ⑦学习基本的数字电路的故障检查和排除方法 ⑧学Mulitisim逻辑化简操作和使用方法 ⑨学习ISE软件操作和使用方法 二、实验原理 1.组合逻辑电路: 组合逻辑电路又称为门网络,它由若干门电路级联(无反馈)而成,其特点是(忽略门电路的延时):电路某一时刻的输出仅由当时的输入变量取值的组合决定,而与过去的输入取值无关。 其一般手工设计的过程为: ①分析其逻辑功能 ②列出真值表 ③写出逻辑表达式,并进行化简 ④画出电路的逻辑图 2.使用的器件: 1)74HC00(四2输入与非门):芯片内部有四个二输入一输出的与非门。 2)74HC20(双4输入与非门):芯片内部有两个四输入一输出的与非门。注意,四输入不能有输入端悬空。 3)74HC04(六反相器):芯片内部有六个非门,可以将输入信号反相。当然,也可以通过2输入与非门来实现,方法是将其一个输入端信号加高电平。 4)74HC151(数据选择器):其功能犹如一个受编码控制的单刀多掷开关,可用在数据采集系统中,选择所需的信号。它有8个与门,各受信号A2、A1、A0的一组组合控制,再将这8个与门的输出端经一个或门输出,是一个与—或电路。 5)74HC138(3线-8线译码器):其有三个使能端E1、E2、E3,可将地址段(A0、A1、A2)的二进制编码在Y0至Y7对应的输出端以低电平译出。 三、实验内容 必做实验: ①数值判别电路 a)设计一个组合逻辑电路,它接收一位8421BCD码B3B2B1B0,仅当2 < B3B2B1B0 < 7时 输出Y才为1(第6周实验课内指导教师验收)

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1 Y=((I0′I1I2′I3′)′(I0′I1′I2′I3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 I=1 1Y0Y=0 0 1I=1 1Y0Y=0 1 I=1 1Y0Y=1 0 3I=1 1Y0Y=1 1 2 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示

实验三 组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图所示。 图组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。

2.二四输入与非门74LS20 74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有两个独立的四输 入“与非”门,每个门的构造和逻辑功能相同。 图 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图所示。它共有四个独立的二输 入“异或”门,每个门的构造和逻辑功能相同。 图 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图所示。 表 74LS138的功能表

组合逻辑电路的分析与设计

第三章组合逻辑电路的分析和设计 [教学要求] 1.掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式; 2.掌握逻辑函数的公式化简法和卡诺图化简法; 3.了解最小项、最大项、约束项的概念及其在逻辑函数化简中的使用。 4.掌握组合逻辑电路的分析和设计方法; 5.了解组合电路中的竞争和冒险现象、产生原因及消除方法。 [教学内容] 1.逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式 2.逻辑函数的公式化简法和卡诺图化简法 3.最小项、最大项、约束项的概念及其在逻辑函数化简中的使用 4.组合逻辑电路的分析方法 5.组合逻辑电路的设计方法 6.组合电路中的竞争和冒险现象、产生原因及消除方法 组合逻辑电路――在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而和先前状态无关的逻辑电路。 组合逻辑电路具有如下特点: (1)输出、输入之间没有反馈延迟通路; (2)电路中不含记忆单元。 3.1 逻辑代数 逻辑代数是分析和设计逻辑电路不可缺少的数学工具。逻辑代数提供了一种方法,即使用二值函数进行逻辑运算。逻辑代数有一系列的定律和规则,用它们对数学表达式进行处理,可以完成对电路的化简、变换、分析和设计。

一、逻辑代数的基本定律和恒等式 常用逻辑代数定律和恒等式表:P90 加乘非 基本定律 结合律 交换律 分配律 反演律(摩根定律) 吸收律 其他常用恒等式 表中的基本定律是根据逻辑加、乘、非三种基本运算法则,推导出的逻辑运算的一些基本定律。对于表中所列的定律的证明,最有效的方法就是检验等式左边的函数和右边函数的真值表是否吻合。 证明: 证明如下: 二、逻辑代数的基本规则

实验一实验一组合逻辑电路设计

实验实验一一 组合逻辑电路设计 一、实验目的 1.熟练掌握组合逻辑电路的设计方法与调试方法; 2.掌握MSI 译码器和数据选择器的应用; 3.进一步提高排除数字电路故障的能力。 二、实验原理 译码器是一种将输入代码转换成特定输出信号的电路。译码器可实现存贮系统和其它数字系统的地址译码、脉冲分配、程序计数、代码转换和逻辑函数发生以及用来驱动各种显示器件等。 数据选择器是根据地址选择码从多路输入数据中选择一路,送到输出。数据选择器可以组成数据选通电路,实现多通道数字传输。 中规模集成组合逻辑电路通常带有控制端,利用控制端可以实现多片器件互连,或扩展电路的逻辑功能。 1.译码器74LS138 74LS138 为中规模集成3线-8线译码器,其引脚排列如图1-1所示,逻辑功能见表1-2。该译码器设置有三个使能端STA 、STB 和STC 。当STA=1,且STB 、STC 都为0时,译码器处于工作状态,否则就禁止译码。

2.数据选择器74LS151 8选1数据选择器的引脚图如图1-2所示,引脚功能见表1-2。通过给定不同的地址代码(即A2A1A0的状态),从8个输入数据中选出一个,送至输出端Y,而Y为反码输出。 真值表 表1-2 74LS151真值表 三、实验条件 1.数字电子技术实验箱、直流稳压电源、数字万用表; 2.74LS138(译码器)1片、74LS151(数据选择器)1片、74LS00(与非门)1片、74LS04(非门)1片、74LS20(与非门)1片、74LS32(或门)1片。 四、实验内容及步骤 1.试用74LS138构成数据分配器,画出其逻辑电路图,将1H z连续脉冲信号加到电路的输入端,输出端接电平显示发光二极管,改变输入地址码A2、A1、A0的值,观察实验现象,记录实验结果。 2.设计一个报警电路。当第一路有报警信号时,数码管显示1;当第二路有报警信号时,数码管显示2;当第三路有报警信号时,数码管显示3;当有两路或两路以上有报警信号时,数码管显示8;当无报警信号时,数码管显示0。要求:用74LS138和逻辑门电路设计该电路并连接译码显示电路,组装调试该电路,观察电路显示结果。 3.设计一个三变量多数表决电路。当三个变量A、B、C 中有两个或两个以上为1时,则输出F为1。要求用74LS151设计该电路。 4. 用74LS151设计一个一位全加器电路。 五、注意事项 1.正确连接电路,注意接触不良故障现象。 2.集成电路的电源电压采用+5V,严禁接错。 六、实验报告要求 1.写出实验电路的设计过程,画出逻辑电路图。 2.记录实验电路的测试结果,并分析实验过程中出现的问题及其解决方法。 七、预习要求与思考题 1.预习要求 (1)学习用MSI设计组合逻辑电路的方法。 (2)利用给定器件设计实验电路,并写出设计步骤。

组合逻辑电路的分析

一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。 5.评价电路性能。 三.思路总结:

四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。 3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。

五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z 1、Z 2、Z 3和Y 的逻辑表达式为: 321 3121Z Z Y BZ Z AZ Z AB Z ==== (2)化简与变换:将Z 1、Z 2、和Z 3代入到公式Y 中进行公式化简得: B A B A BZ AZ BZ AZ Z Z Z Z Y +=+=+=+==11113232 (3)列出真值表:根据化简以后的逻辑表达式列出真值表如表所示。

实验二组合逻辑电路的设计与测试.doc

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计方法及功能测试方法。 2、熟悉组合电路的特点。 二、实验原理 1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一般步骤如 图 2- 1 所示。 图2- 1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出 简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出 逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“ 1”。 设计步骤:根据题意列出真值表如表2-1 所示,再填入卡诺图表2- 2 中。 表 2-1 D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 A 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Z 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 1 表 2- 2 DA 00 01 11 10 BC 00 0 0 0 0 01 0 0 1 0 11 0 1 1 1 10 0 0 1 0 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z=ABC+ BCD+ ACD+ ABD =ABC BCD ACD ABC 根据逻辑表达式画出用“与非门”构成的逻辑电路如图2- 2 所示。 图 2-2表决电路逻辑图

实验一组合逻辑电路的设计与测试

实验一组合逻辑电路的设计与测试 一、实验原理 根据设计任务的要求建立输入、输出变量,并列出真值表;然后用逻辑电路 代数或卡诺图化简法求出简化的逻辑表达式并按实际选用逻辑门的类型修改逻 辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。 最后,验证设计的正确性。 二、实验目的 掌握组合逻辑电路的设计与测试方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑开关 3、逻辑电平显示器 4、直流数字电压表 5、CC4011×2(74LS00) CC4012×3(74LS20) CC4030(74LS86) CC4081(74LS08) 74LS54×2(CC4085) CC4001(74LS02) 四、实验内容 1、设计用与非门及异或门、与门组成的半加器电路。 (1)真值表如下表

(2) 简化逻辑表达式为 S⊕ = A = + B A B A B C= AB (3)逻辑电路图如下 2、设计一个一位全加器,要求用异或门、与门、或门实现。 用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 (1)列出真值表如下表。其中Ai、Bi、Ci分别为一个加数、另一个加数、低位向本位的进位;Si、Ci+1分别为本位和、本位向高位的进位。

1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 (2)由全加器真值表写出函数表达式。 (3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 (4)画出逻辑电路图如下图,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将Ai 、Bi 、Ci 接逻辑开关,输出Si 、Ci+1接发光二极管。改变输入信号的状态验证真值表。 3、设计一位全加器,要求用与或非门实现。 解: 11i 1-i i i 1-i i i i B A C B A C B A S --+++=i i i i i C B A C Θ

组合逻辑电路分析练习题及答案.

《组合逻辑电路分析》练习题及答案 [4.1] 分析图P4.1电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 图P4.1 [解] BC AC AB Y BC AC AB C B A ABC Y ++=+++++=21)( 真值表: A B C Y 1 Y 2 000 0 0 00 1 1 0 010 1 0 01 1 0 1 100 1 0 10 1 0 1 1 1 0 0 1 11 1 1 1 由真值表可知:电路构成全加器,输入A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [4.2] 图P4.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.2 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=1、Z=0时的真值表 COMP=0、Z=0的真值表从略。 [题4.3] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.3的真值表如表A4.3所示,逻辑图如图A4.3所示。 表A4.3 ABCD D ABC D C AB CD B A BCD A Y ++++= BCD ACD ABC ABC +++= 十进制数 A 4A 3A 2A 1 Y 4Y 3Y 2 Y 1 十进制数 A 4 A 3 A 2 A 1 Y 4 Y 3 Y 2 Y 1 0 0 0 0 0 100 1 8 1000 000 1 1 000 1 1000 9 100 1 0000 2 0010 011 1 伪 码 1010 011 1 3 001 1 0110 101 1 0110 4 0100 010 1 1100 010 1 5 010 1 0100 110 1 0100 6 0110 001 1 1110 001 1 7 011 1 0010 111 1 0010 A B C D Y A B C D Y 0 0 0 0 0 1000 0 000 1 0 100 1 0 0010 0 1010 0 001 1 0 101 1 1 0100 0 1100 0 010 1 0 110 1 1 0110 0 1110 1 011 1 1 111 1 1

数电实验二组合逻辑电路

数电实验二组合逻辑电 路 Document number【SA80SAB-SAA9SYT-SAATC-SA6UT-SA18】

实验二 组合逻辑电路 一、实验目的 1.掌握组和逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及器件 1.仪器:数字电路学习机 2.器件:74LS00 二输入端四与非门 3片 74LS86 二输入端四异或门 1片 74LS54 四组输入与或非门 1片 三、实验内容 1.组合逻辑电路功能测试 (1).用2片74LS00按图连线,为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 (2).图中A 、B 、C 接电平开关,Y1、Y2接发光管电平显示 (3).按表要求,改变A 、B 、C 的状态,填表并写出Y1、Y2的逻辑表达式。 (4).将运算结果与实验比较。 Y1=A+B ,C B B A Y +=2 2.测试用异或门(74LS86)和与非门组成的半加器的 逻辑功能。 根据半加器的逻辑表达式可知,半加器Y 是A 、B 的 异或,而进位Z 是A 、B 相与,故半加器可用一个集成异 或门和二个与非门组成,如图。 (1).用异或门和与非门接成以上电路。输入A 、B 接 电平开关,输出Y 、Z 接电平显示。 (2).按表要求改变A 、B 状态,填 表。 3.测试全加器的逻辑功能。 (1).写出图电路的逻辑表达式。 (2).根据逻辑表达式列真值表。 (3).根据真值表画逻辑函数SiCi 的卡诺图。 (4).连接电路,测量并填写表各输入 输出 A B C Y1 Y2 0 0 0 0 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 1 输入 输出 A B Y Z 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A i B i C i-1 Y Z X 1 X 2 X 3 S i C i 0 0 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 1 0 1 1 0 1

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 14.1 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3) (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1

组合逻辑电路分析

A B C (1) 写出Y 的最简与或式; (2) 列出电路的真值表; (3) 说明电路的逻辑功能; 2.设计一个三输入端组合逻辑电路,当三个输入端信号电平相同时,输出为1,否则输出为0. 2005年 (1)列出真值表。 (2)求出与或表达式并变换为与非—与非式。 (3)画出用与非门组成的逻辑图(输入端可直接使用后变量)。 1.逻辑函数Y 的真质表如下表所示,2006年 (1)求出Y 的与或表达式 (2)求出Y 的最简与非—与非表达式 (3)画出用与非门实现函数Y 的逻辑图。

(1)写出Y1、Y2、Y3的表达式; (2)写出Y 的最简与或门; (3)画出化简后的逻辑电路图。 A B C 图3.3 1、组合逻辑电路的输入A 、B 、C 和输出F 的波形如图所示。2008年 (1)列出真值表; (2)写出逻辑函数表达式并化简; (3)用最少的与非门实现。 1、只有一辆自行车,要求只有在A 同意的情况下,B 和C 才可以骑,但B 具有优先权,B 不骑时C 才可以骑。 (1)列出真值表。(2)写出最简逻辑表达式,(3)试用与非门设计电路,画出逻辑电路图。2009年 三、简答题 1. (8-5中)设一位二进制半加器的被加数为A ,加数为B ,本位之和为S , 向高位进位为C ,试根据真值表 1).写出逻辑表达式 2).画出其 逻辑图。 真值表:

2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 3.(8-1难)分析图示逻辑电路:

组合逻辑电路实验报告

组合逻辑电路实验报告 一实验目的和实验要求: 1、了解全加器的工作原理及其典型的应用,并验证4位全加器功能。 2、了解和掌握数字比较器的工作原理及如何比较大小。 3、了解和掌握译码器的工作原理,并测试其逻辑功能。 4、了解和掌握编码器的工作原理,并测试其逻辑单元。 5、了解和掌握数码选择器的工作原理及逻辑功能。 二实验方案: 器件:8-3编码器74HC148 3-8译码器74HC138 4选1数据选择器74HC153 4位数字比较器74HC85 4位全加器74HC283 在GDUT-J-1 数字电路试验箱中使用以上芯片,按照实验书连接好线路,通过拨码开关和LED开关来模拟逻辑输入和逻辑输出,观察LED灯的亮灭来判断逻辑状态,完成对应芯片的输入输出状态表(及真值表)来得出芯片的逻辑表达式。 三实验结果和数据处理: 74HC148输入输出状态 控制十进制数字信号输入二进制数码输入状态输出E1 I0 I1 I2 I3 I4 I5 I6 I7 A1 A2 A3 GS EO 1 X X X X X X X X 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0 X X X X X X X 0 0 0 0 0 1 0 X X X X X X 0 1 0 0 1 0 1 0 X X X X X 0 1 1 0 1 0 0 1 0 X X X X 0 1 1 1 0 1 1 0 1 0 X X X 0 1 1 1 1 1 0 0 0 1 0 X X 0 1 1 1 1 1 1 0 1 0 1 0 X 0 1 1 1 1 1 1 1 1 0 0 1 0 0 1 1 1 1 1 1 1 1 1 1 0 1 74HC138输入输出状态 使能输入数据输入译码输入 E1^ E2^ E3 A2 A1 A0 Y0^ Y1^ Y2^ Y3^ Y4^ Y5^ Y6^ Y7^ 1 X X X X X 1 1 1 1 1 1 1 1 X 1 X X X X 1 1 1 1 1 1 1 1 X X 0 X X X 1 1 1 1 1 1 1 1 0 0 1 0 0 0 0 1 1 1 1 1 1 1 0 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 0 1 1 1 1 1 0 1 1 1 1 0 0 1 1 0 0 1 1 1 1 0 1 1 1

组合逻辑电路分析

实验名称组合逻辑电路分析、设计与测试 一、实验目的 1.掌握组合逻辑电路的分析与测试方法; 2.掌握用门电路设计组合逻辑电路的方法。 二、实验原理 1.组合逻辑电路的分析与测试 组合逻辑电路是最常见的逻辑电路,即通过基本的门电路(比如与门,与非门,或门,或非门等)来组合成具有一定功能的逻辑电路。组合逻辑电路的分析,就是根据给定的逻辑电路,写出其输入与输出之间的逻辑函数表达式,或者列出真值表,从而确定该电路的逻辑功能。组合逻辑电路的测试,就运用实验设备和仪器,搭建出实验电路,测试输入信号和输出信号是否符合理论分析出来的逻辑关系,从而验证该电路的逻辑功能。 组合逻辑电路的分析与测试的步骤通常是: (1)根据给定的组合逻辑电路图,列出输入量和中间量、输出量的逻辑表达式; (2)根据所得的逻辑式列出相应的真值表或者卡诺图; (3)根据真值表分析出组合逻辑电路的逻辑功能; (4)运用实验设备和器件搭建出该电路,测试其逻辑功能。 2.组合逻辑电路的设计与测试 组合逻辑电路的设计与测试,就是根据设计的功能要求,列出输入量与输出量之间的真值表,通过化简获得输入量与输出量之间的逻辑表达式,然后根据逻辑表达式用相应的门电路设计该组合逻辑电路,然后运用实验设备与器件搭建实验电路,测试该电路是否符合设计要求。 组合逻辑电路的设计与测试的步骤通常是: (1)根据设计的功能要求,列出真值表或者卡诺图; (2)化简逻辑函数,得到最简的逻辑表达式; (3)根据最简的逻辑表达式,画出逻辑电路; (4)搭建实验电路,测试所设计的电路是否满足要求。 三、预习要求 1.阅读理论教材上有关组合逻辑电路的分析与综合以及半加器等章节内容,以达到明确实 验内容的目的。 2.查阅附录有关芯片管脚定义和相关的预备材料。 四、实验设备与仪器 1.数字电路实验箱; 2.芯片74LS00;74LS20。 五、实验内容 1.半加器逻辑电路的分析与测试

相关文档
最新文档