基于PLC的三层电梯控制系统任务书

基于PLC的三层电梯控制系统任务书
基于PLC的三层电梯控制系统任务书

附件5

机电学院毕业设计任务书

课题名称基于PLC的三层电梯控制系统教学系、部、室机电工程

专业机电

指导教师蔡超志

年月日

毕业设计任务书

学生姓名:专业:机械设计制造及其自动化机电方向设计题目:基于PLC的三层电梯控制系统

设计方案及参数:采用PLC设计

一、系统设计要求

设计一三层简易电梯控制程序,该系统输入信号有:一层呼叫按钮PB1、二层呼叫按钮PB2、三层呼叫按钮PB3,一层行程开关 LS1、二层行程开关 LS2、三层行程开关 LS3;输出信号有:电梯上升KM1、电梯下降KM2,控制要求如下:

1、不允许同时有两层楼要求停电梯;

2、当二层不需要停时,能越过二层直接到达所需楼层。

二、设计内容

1、根据设计要求,进行总体方案设计,画出原理图;

2、根据系统功能进行系统硬件设计,画出控制电路图;

3、根据系统功能进行软件程序设计。

4、编写设计说明书,应阐述整个设计内容,并要突出重点和特色,图文并

茂,文字通畅(正文不少于2万字,约35页以上);

5、阅读相关文献15篇以上,翻译与课题相关的2万印刷字符的外文资料。

指导教师蔡超志

系、部主任

教学院长

基于plc的三层电梯控制系统设计

摘要 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用PLC组成的控制系统可以很好地解决上述问题。本论文通过讨论电梯控制系统的组成,阐述可编程控制器(用三菱PLC编程的程序控制方式,提出了三层电梯的程、组成,列出了具体的主要硬件电路、电梯的控制梯形图及指令表。并给出了系统组成框图和程序流程图,在分析、处理随机信号逻辑关系的基础上,提出了计了一套完整的电梯控制系统方案。触点多,故障率高、可靠性差、安装调试周期长、维修工作量大、接线复杂等缺点。使电梯运行更加安全、方便、舒适。在PLC课程设计中,我组设计了一个三层电梯控制系统,并且将西门子公司S7-200系列可编程控制器与其结合并应用起来,在学完《电气控制与PLC应用》课程后,我们在设计过程中较为得心应手,不至于从头开始。整个过程包括了方案讨论,程序设计,程序修改,上机调试等,在程序设计方面花了比较多的时间,主要考虑到电梯分别停在一层、二层和三层时在其他楼层呼叫等各种情况。每当遇到困难时,我组都积极与老师联系讨论,深入分析研究问题,在整个过程中,我与我的组员都相互配合,相互学习。 关键字:PLC;电梯;升降;梯形图;系统组成框图

In this paper The elevator is an indispensable means of transport for the high-rise building, used for vertical transporting passengers and cargo, the traditional elevator control system mainly adopts relay - contactor to control, its shortcomings is the number of contact, such as high failure rate and poor reliability, maintenance workload is big, and composed of PLC control system is a good way to solve the above problems. Through discussing the composition of the elevator control system, this paper expounds the programmable controller (with mitsubishi PLC programming way of process control, puts forward the three layers of elevator ride, and lists the specific of the main hardware circuit, elevator control ladder diagram and instruction list. And the system composition block diagram and program flow chart is given, based on the analysis, processing, on the basis of random signal logic relation, put forward the plan for a complete set of the elevator control system scheme. Contact, high failure rate, poor reliability, installation and debugging cycle is long, maintenance workload, such as complex wiring faults. Make the elevator running more safe, convenient and comfortable. In the PLC course design, I have come up with a three layers of elevator group control system, and the Siemens S7-200 series programmable controller and its application and combining, after completing the curriculum, electrical control and PLC application we in the design process is relatively with ease, not from the beginning. The whole process including the solution discussion, program design, program changes, computer debugging, etc., spent more time on program design, main consideration to the elevator stop on the first floor, respectively the second and third floors in other situations such as floor call. Whenever encounter difficulties, I actively

plc三层电梯控制设计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关

LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向的下降按钮呼叫均无效; (8)在电梯下降途中,任何反方向的上升按钮呼叫均无效; (9)每层楼之间的到达时间应在10s完成,否则电梯停机; (10)电梯的起始位置和程序的启动、停止运行自行设计。 1.3电梯的结构 1-减速箱2-曳引轮 3-曳引机底座4-导向轮 5-限速器6-机座 7-导轨支架8-曳引钢绳 9-开关碰铁10-紧急开关 11-导靴12-轿架 13-轿门14-安全钳 15-导轨16-绳头组合 17-对重18-补偿链

PLC课程设计课题——三层电梯模型PLC控制系统设计与调试

仅供个人参考 一、控制要求: 1.系统应具备:有司机、无司机、消防三种工作模式。 2.系统应具备下列几项控制功能: 1)自动响应层楼召唤信号(含上召唤和下召唤)。 2)自动响应轿厢服务指令信号。 3)自动完成轿厢层楼位置显示(二进制方式)。 4)自动显示电梯运行方向。 5)具有电梯直达功能和反向最远停站功能。 3.系统提供的输入控制信号: AYS 向上行驶按钮 AYX 向下行驶按钮 YSJ 有/无司机选择开关 1YC 一楼行程开关 2YC 二楼行程开关 3YC 三楼行程开关 A1J 一楼指令按钮 A2J 二楼指令按钮 A3J 三楼指令按钮 AJ 指令专用开关(直驶) ZXF 置消防开关 A1S 一楼上召唤按钮 A2S 二楼上召唤按钮 A2X 二楼下召唤按钮 A3S 三楼上召唤按钮 A3X 三楼下召唤按钮 4.系统需要输出的开关控制信号: KM 开门显示 GM 关门显示 MGB 门关闭显示 DCS 上行显示 DCX 下行显示 S 上行继电器(控制电动机正转) X 下行继电器(控制电动机反转) YX 运行显示 A LED七段显示器a段发光二极管 B LED 七段显示器 b 段发光二极管 C LE D 七段显示器 c 段发光二极管 D LED 七段显示器 d 段发光二极管 E LED 七段显示器 e 段发光二极管 F LED 七段显示器 f 段发光二极管 G LED七段显示器g段发光二极管 1DJA 一楼指令信号登记显示 2DJA 二楼指令信号登记显示 3DJA 三楼指令信号登记显示 1DAS 一楼上召唤信号登记显示 仅供个人参考 2DAS 二楼上召唤信号登记显示

2DAX 二楼下召唤信号登记显示 3DAS 三楼上召唤信号登记显示 3DAX 三楼下召唤信号登记显示 二、课题要求: 1.按题意要求,画出PLC 端子接线图及控制梯形图。 2.完成PLC 端子接线工作, 并利用编程器输入梯形图控制程序,完成调试。 3. 完成课程设计说明书 三、答辩问题: 1.阐明程序设计思想及工作流程。 2.当层楼数增加,开关量输入和输出的点数将作如何变化? 3.若需要电梯只服务于奇数楼层,梯形图将作如何变换? 4.若需要电梯只服务于偶数层楼,梯形图将作如何变换? 5.若正常运行方式作为方式A ,上述3、4 题运行方式作为方式B 、方式C 、方式 D , 如何采用两个输入开关来任选其中一个作为当前运行方式? 6.电梯控制中清除召唤登记的条件是什么? 7.电梯控制中清除指令登记的条件是什么? 线路部分

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

PLC课设——三层电梯控制

江南大学 物联网工程学院 《电气控制及PLC》 For personal use only in study and research; not for commercial use 综合设计报告 设计题目: For personal use only in study and research; not for commercial use 专业: 班级:

姓名: For personal use only in study and research; not for commercial use 学号: 指导教师: 二0一二年 6 月21 日 目录 1.课程设计目的 (1) 2.课程设计题目及要求 (1) 3. 三层电梯自动控制PLC设计 (4) 3.1 控制任务的分析与输入输出点分配 (4) 3.1.3 电梯PLC I/O 配线表 (6)

3.2 三层电梯控制原理图 (6) 3.3 S7-300的硬件组态及序 (8) 3.3.1 S7-300的硬件组态 (8) 3.3.2 程序设计思路 (12) 3.4 操作说明及注意事项 (15) 总结及体会 (15) 参考文献 (17)

3.三层电梯自动控制PLC设计 3.1 控制任务的分析与输入输出点分配

3-曳引机底座;4-导向轮; 5-限速器; 6-机座; 7-导轨支架; 8-曳引钢绳; 9-开关碰铁; 10-紧急开关; 11-导靴; 12-轿架; 13-轿门; 14-安全钳; 15-导轨; 16-绳头组合; 17-对重, 18-补偿链; 19-补偿链导轮 20-张紧置; 21-缓冲器; 22-底坑; 23-层门; 24-呼梯盒; 25-层楼指示灯:26-随行缆; 27-轿壁; 28-轿内操纵箱; 29-开门机; 30-井道传器; 3 1-电源开关; 32-控制柜; 3.1.2 电梯的控制任务分析 一层呼叫按钮PB1、二层下呼叫按钮PB2、二层上呼叫按钮PB3,三层呼叫 按钮PB4,一层行程开关 LS1、二层行程开关 LS2、三层行程开关 LS3;输出信 号有:电梯上升KM1、电梯下降KM2,控制要求如下: 1.不允许同时有两层楼要求停电梯; 2.当二层不需要停时,能越过二层直接到达所需楼层。

三层电梯的PLC控制

三层电梯的PLC控制 1 问题描述 在现代社会中,电梯的使用非常普遍。随着PLC控制技术的普及,大大提高了控制系统的可靠性,减少了控制装置的体积。 2 控制任务和功能要求 (1) 当轿厢停在一楼或二楼,如果三楼有呼叫,则轿厢上升到三楼。 (2) 当轿厢停在二楼或三楼,如果一楼有呼叫,则轿厢下降到一楼。 (3) 当轿厢停在一楼,二楼、三楼均有人呼叫,则先到二楼,停8s后继续上升,每层均停8s,直到三楼。 (4) 当轿厢停在三楼,一楼、二楼均有人呼叫,则先到二楼,停8s后继续下降,每层均停8s,直到一楼。 (5) 在轿厢运行途中,如果有多个呼叫,则优先相应与当前运行方向相同的就近楼层,对反方向的呼叫进行记忆,待轿厢返回时就近停车。 (6) 在各个楼层之间的运行时间应少于10s,否则认为发生故障,应发出报警信号。 (7) 电梯的运行方向指示。 (8) 用数码管显示轿厢所在的楼层。 (9) 在轿厢运行期间不能开门。 (10) 轿厢不关门不允许运行。 3 系统硬件配置 根据设计要求,在该三层电梯控制系统中,输入设备均为开关量,故而输入模块选择为直流数字量输入模块;输出模块选为直流流数字量输出模块。因此选用DI32*DC24V,DO32*DC24/0.5A,电源和CPU模块选用实验室用的,即选用PS 307 10A、CPU314(1)。所选硬件如表1所示。 表1 系统硬件配置表

4 系统I/O端口分配 系统I/O端口分配表如表2所示。 表2 I/O端口地址分配表

5 主电路图 主电路图如图1所示。 图1 主电路图6 系统流程图 系统流程图如图2所示。

图2 系统流程图7 PLC外部接线图 PLC外部接线图如图3所示。

数字电子技术课程设计报告-电梯控制

! 题目名称:电梯控制 院系:电气工程学院 专业班级:电子工艺与管理 学生姓名:徐小可 学号: 41 指导教师:孙艳 完成时间:2012年11月30 目录 ` 摘要 0 一,设计目的及意义 (1) 目的: (1) 意义: (1) 二,整体设计 (2)

2.1 设计目标 (2) 设计要求 (2) 2.3 整体设计流程图 (3) : 三,电梯控制系统的实现 (3) 3.1 实现功能 (3) 3.2 实现电路 (4) 楼层的输入电路 (4) 555定时电路 (4) (5) (6) 3.3主要元器件介绍 (6) 。 译码器 (6) (7) D触发器 (8)

四,实验总结 (9) 4. 1 设计中主要出现的问题 (9) 4.2 尚待解决的问题 (9) 4. 3 心得体会 (10)

摘要 随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一. 设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。以此来设计了一个四层电梯控制系统。 关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管; 一,设计目的及意义 本设计是利用已学过的数电知识,设计的四层电梯控制系统。 目的: (1)重温自己已学过的数电知识;

三层电梯PLC控制系统设计报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

第一章三层楼电梯自动控制 (4) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (5) 4.输入/输出端子接线图 (6) 5.运动形式分析 (6) 6.助记符 (11) 三.硬件配置设计 (15) 1. 电梯控制构成 (16) 2. 主电路 (16) 四.型号规格 (16)

三层电梯控制的PLC实现(sfc)

三层电梯PLC控制设计的实现 自动化081班邹文轩0805404102 1.设计要求:当乘员进入电梯,按下楼层按纽,电梯门自动关闭后,根据轿箱所处位置及乘员所处层数,判定轿箱运行方向,保证轿箱平层时有一个减速过程。将轿箱停在选定的楼层上,同时,根据楼层的呼叫,顺路停车,自动开关门。另外在轿箱内外均要有信号灯显示电梯运行方向及楼层数。 下图表示PLC控制电梯的工作流程示意图。 2.分析设计要求 2.1输入设备数量的确定: 需要有三个开关来控制内选按钮,分别设为X1、X2和X3;每个楼层都要有呼叫按钮,因为是三层所以需要四个,分别设为X4、X5、X6和X7;每一层都需要一个行程开关,一共三个,分别设为X10、X11和X12;因为需要制动,所以每层至少需要一个接近开关,分别为X20、X21和X22。此外电梯还需要一个总开X13和一个总关X14。故总共有15个输入。 2.2输出设备的数量确定: 用七段灯管来显示1、2、3的数字,以此来指示电梯所在楼层,所以用Y10、Y11、Y12、Y13、Y14、Y15和Y16来表示七段数码管的七段;电梯开门关门分别为Y6和Y7;电梯上升和下降分别为Y4和Y5;电梯制动显示为Y0。故总共有12个输出。 2.3选择PLC: 考虑到I/O要各留30%余量,应选择开关量输入24点,开关量输出24点的PLC。考虑到以后应用到楼层更高、控制更复杂的电梯系统中,所选择的PLC 应该留有30%的输入输出余量,并且要易于扩展。因此选择FX2N-48MR-D,共有24个输入和24个输出,满足要求,并且和此型号PLC配套的有输入输出扩展模块、特殊功能模块/单元、混合温度传感器输入、和通信/网络等单元,易于

三层电梯控制系统的设计

三层电梯控制系统的 实验设计 指导老师:朱静 学生:马小娟 班级:电科092 学号:095203403

三层电梯控制系统的设计 第一节设计要求 要求用FPGA设计实现一个3层电梯的控制系统。系统的要求如下: (1)电梯运行规则:当电梯处在上升模式时,只响应比电梯所在位置高的上楼请求,由下向上逐个执行,直到最后一个上楼请求执行完毕。如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。电梯处在下降模式时,工作方式与上升模式相反。设电梯共有3层,每秒上升或下降一层。 (2)电梯初始状态为一层,处在开门状态,开门指示灯亮。 (3)每层电梯入口处均设有上下请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。 (4)设置电梯所处位置的指示及电梯上升或下降的指示。 (5)电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。开门4妙后,电梯门关闭,开门指示灯灭,电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (6)电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后清除。 第二节三层电梯控制系统的功能模块及流程图 电梯控制器的功能模块如图2.1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图2.1 电梯控制器原理图

北京理工大学珠海学院三层电梯控制课程设计

目录 一、课程设计性质和目的 ................................................................................................... - 1 - 二、课程设计的内容及要求 ............................................................................................... - 1 - 2.1功能要求..................................................................................................................... - 1 -2.2设计内容..................................................................................................................... - 1 -2.3电梯控制要求 ............................................................................................................ - 1 - 2.4任务及最终要求 ......................................................................................................... - 3 - 三、课程设计的进度及安排 ............................................................................................... - 3 - 四、设计所需软件平台 ....................................................................................................... - 4 - 4.1GX Developer ............................................................................................................. - 4 -4.2 OPC Server ............................................................................................................... - 5 - 4.3组态王 ........................................................................................................................ - 5 - 五、设计思路及梯形图程序 ............................................................................................... - 5 - 5.1梯形图控制逻辑 ........................................................................................................ - 5 - 5.2梯形图分析 ................................................................................................................ - 6 - 六、组态王人机界面设计 ................................................................................................. - 10 - 6.1电梯控制工程文件设置 .......................................................................................... - 10 -6.2变量设置 .................................................................................................................. - 11 -6.3电梯控制界面控制 .................................................................................................. - 12 - 6.4程序代码说明 .......................................................................................................... - 16 - 七、调试运行 ..................................................................................................................... - 18 - 7.1 PLC仿真调试 .......................................................................................................... - 18 - 7.2联合调试 .................................................................................................................. - 21 - 八、结果及分析 ................................................................................................................. - 23 - 九、心得体会 ..................................................................................................................... - 24 - 十、参考文献 ..................................................................................................................... - 26 -十一、致谢 ......................................................................................................................... - 26 -十二、附录 ......................................................................................................................... - 27 -

三层电梯的plc控制.

辽宁工业大学PLC技术及应用课程设计(论文) 题目:三层电梯的PLC控制 院(系): 专业班级: 学号: 学生姓名: 指导教师: 起止时间:

课程设计(论文)任务及评语 院(系):电气工程学院 教研室: 注:成绩:平时20% 论文质量60% 答辩20% 以百分制计算 学 号 学生姓名 专业班级 课程设计(论文)题目 三层电梯的PLC 控制 课程设计(论文)任务 课题完成的功能、设计任务及要求、技术参数 实现功能 1、电梯上行要求:当电梯停于1F ,2F 、3F 呼叫,则上行到2F ,停5s 后,继续道3F 碰行程开关后停止;当电梯与1F 或2F ,3F 呼叫时,则上行到3F 碰行程开关停止。 2、电梯上行要求:当电梯停于1F ,2F 、3F 呼叫,则上行到2F ,停5s 后,继续到3F 碰行程开关后停止;当电梯与1F 或2F ,3F 呼叫时,则上行到3F 碰行程开关停止。 3、当电梯停于2F ,3F 下呼、1F 上呼,按 顺序执行。 4、各楼层运行时间应在15s 内,否则认为故障,置故障灯,无呼叫时电梯停在1F 。 设计任务及要求 1、根据系统功能,确定总体控制方案(包括设计系统组成框图,缝隙各部分的作用) 2、分析系统的功能与任务,确定输入输出信号和类型,选择PLCA 型号和扩展模块。 3、建立I/O 分配表,完成PLC 与输入/输出信号的外部接线及电机控制接线; 4、按系统的控制要求,用梯形图设计程序; 5、上机调试、完善程序; 6、按学校规定格式,撰写、打印设计说明书一份;设计说明书应在4000字以上。 技术参数:电机额定电压380V ,额定电流33.5A ,额定功率14.8k W 。 进度计划 1、布置任务,查阅资料,确定系统电路的组成(2天) 2、建立I/O 分配,完成外部接线设计(1天) 3、按系统的控制要求,完成梯形图设计(2天) 4、上机调试、修改程序(1天) 5、撰写、打印设计说明书(2天) 6、答辩(1天) 指导教师评语及成绩 平时: 论文质量: 答辩: 总成绩: 指导教师签字: 年 月 日

PLC课程设计四层电梯控制(1)

PLC 课程设计四层电梯控制 实训目的 1. 掌握复杂输入输出控制系统的程序编程技巧 2. 掌握四层电梯控制系统的接线、调试、操作 序号 名 称 型号与规格 数量 备注 1 实训装置 THPFSL- 2 1 2 实训挂箱 A19-1 1 3 导线 3号 若干 4 通讯编程电缆 SC-09 1 二菱 5 实训指导书 THPFSL-1/2 1 6 计算机(带编程软件) 1 自备 电梯的电气控制系统由控制装置,操纵装置,平层装置,和位置显示装置等部分组成。 其中控制装置根据电梯的运行逻辑功能要求,控制电梯的运行,设置在机房中的控制柜上。 操纵装置是由轿厢内的按钮箱和厅门的召唤箱按钮来操纵电梯的运行的。 平层装置是发出平 层控制信号,使电梯轿厢准确平层的控制装置。 所谓平层,是指轿厢在接近某一楼层的停靠 站时,欲使轿厢地坎与厅门地坎达到同一平面的操作。 位置显示装置是用来显示电梯所在楼 层位置的轿内和厅门的指示灯,厅门指示灯还用尖头指示电梯的运行方向 四、 控制要求 1. 总体控制要求: 电梯由安装在各楼层电梯口的上升下降呼叫按钮 ( U1、U2、D2、 UP DO 邮J SL4 SL3 SL2 SL DM4 LP3 S4 □ S2 I S3 □ $1 □ 1關 1 f ] S3 r \ S2 1 I SI f 'll 1 I W i i 03 i i □2 1 1 1丨〕 o o 1 1 | U2 i I I JI 1 1 S04 1 I SQ3 1 I 1 1 1 | SQ 2 ! 1 I 1 1 5Q1 1 I 1 1 L4 I I 1 1 L3 1 I 1 1 1 1 口 Ll i i UP i i DOWN 1 1 Q n. 1 1 I DW I A3 DN2 LP3 I 1 1 (1 1 1 Q | UP2 1 ■ I I JP1 I I SL4 1 1 SL3 1 I 1 1 1 | SL2 1 1 SL1 1 .1 + COM 04 U3 D3 U2 02 JI DM3 UP2

三层电梯控制程序设计

三层电梯控制程序设计 1.三层电梯控制分析 三层电梯控制输入、输出均为开关量,按控制逻辑TA + =) (表达式, Y? QA Y 分析QA进入条件、TA退出条件,可直接逐条进行逻辑控制设计。 到三层,由行程开关3LS停止; 这一条逻辑控制中的输出为上升,其进入条件为3AX呼叫,且电梯停在一层或二层,用1LS、2LS表示停的位置,因此,进入条件可以表 示为: LS? AX + LS ? X + = X 11 12 4 ( ) 3 2 1(X ) 退出条件为3LS动作,因此逻辑输出方程为: LS AX LS LS X Y? Y + X = + ? + = + ) 12 [( X 4 13 ]1 3 11 [( ]1 Y 1 1X 2 3) (2)当电梯停于三层或二层时,如果按1AS按钮呼叫,则电梯下降到一层,由行程开关1LS停止; 此条逻辑控制中输出为下降,其进入条件为: LS AS LS? X X + = + ? 13 ) 2(X 12 1 ( ) 3 1 退出条件为1LS动作,逻辑输出方程为: LS AS LS LS X Y? Y + X = + ? + = + 2X 13 X [( ) 1 11 ]2 1 12 [( Y 2 3 1) ]2 (3)当电梯停于一层时,如果按2AS按钮呼叫,则电梯上升到二层,由行程开关2LS停止; 此条逻辑控制中输出为上升,其进入条件为: LS? = ? AS 11 2 2 1X X 退出条件为2LS动作,逻辑输出方程为: Y? + AS Y LS ? ? = + X = ? LS 11 ( 2 )1 12 2 )1 Y 1X 1( 2 X (4)当电梯停于三层时,如果按2AX按钮呼叫,则电梯下降到二层,由行程开关2LS停止; 此条逻辑控制中输出为下降,其进入条件为: LS? ? AX = 3X 3 X 13 2 退出条件为2LS动作,逻辑输出方程为:

PLC三层电梯控制设计讲课稿

P L C三层电梯控制设 计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训内容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵内容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层 U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止;

PLC课程设计三层电梯控制系统

P L C课程设计三层电梯控 制系统 The pony was revised in January 2021

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按

二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,电梯停在二层,当电梯运行至一层时,一层内选指示灯SL1灭,下降指示灯DOWN灭,上升指示灯UP亮,电梯转为上升状态,响应二层上呼,当电梯运行至二层时,上升指示灯UP灭。 每当到达楼层若电梯门指示灯不闪烁则继续前进,否则执行电梯门开关动作。 ③I/O分配表 (1)输入

相关文档
最新文档