八路循环彩灯的设计方案

八路循环彩灯的设计方案

八路循环彩灯是一种非常受欢迎的装饰灯,它使用八个LED灯以不同的速度旋转,形成一种奇特的彩色闪烁画面,由此引起人们的注意。

控制循环彩灯的基本工作原理是:当电源连接到LED灯之后,电路控制器就会控制每个LED灯的亮度和强度变化,当每个LED灯的亮度和强度不断变化时,便产生了旋转彩色灯的效果,这就是八路循环彩灯的原理。

设计八路循环彩灯需要先选择好建立该系统的单片机,通常情况下,比如基于AVR单片机的系统,我们可以使用ATmega328P系列单片机,该系列单片机具有足够的IO口,可以直接控制8路LED灯。

接着,当单片机选择好之后,就可以考虑整个系统的电源电路,一般情况下,它的电源电路可以采用桥式变压器,主变压器的输出电压需要符合单片机的需求,因为单片机的使用电压可能会有所不同,所以在设计的过程中需要根据实际所选单片机的电源电压来设计,一般来说,为了减少系统损耗,这里也可以使用电池电源,而且这样可以有效降低系统的负载,节约电能源。

接下来,就要考虑如何控制慢/快速闪烁的参数了,因此我们需要一个外部模块,一般情况下可以使用一个称为电路模块的模块,该模块可以由电路芯片完成控制,电路芯片与单片机通过I2C总线连接,单片机发出控制指令,电路模块完成控制该系统的快慢闪烁参数,最终实现存储下来的设定好的8路循环彩灯效果。

最终,只要把本系统设计出来,之后就可以把LED装饰灯安装到玻璃或者其他装饰物体上,根据上面所描述的原理,不但可以实现单一的循环彩灯效果,而且还可以根据需求改变参数来实现多种效果,最终完成装饰灯的安装以达到装饰的目的。

八路彩灯

彩灯设计报告 题目:八路循环彩灯设计 专业:自动化 班级:1320391 项目成员: 姓名张磊学号132039124 姓名窦翔学号132039116 姓名张诗浩学号132039128 姓名李士晖学号132039138

第一章总体设计 1.1设计目的 1)了解单片机的基本原理及相关的简单应用。 2)掌握用单片机设计系统的一般步骤。 3)了解LED数码管的基本知识和驱动方法。 4)掌握单片机系统各个组成部分的作用以及分布位置。 5)学会运用单片机的硬件资源。 1.2设计任务与要求 8个彩灯的控制电路的任务为:用STC89S52单片机设计设计一个8个彩灯控制电路。 要求:完成以下花形变化: 1)从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。时间节拍为1秒。 3)循环往复,用LED管模拟彩灯。 4)用汇编语言编程,用proteus仿真。 1.3基本工作原理 此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。若查到的内容为跳出代码就重新开始循环。

1.4设计方案 软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。 硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。芯片的控制由STC89C52的外接晶振和复位电路进行控制。 第二章 单片机简介 2.1单片机结构 2.1.1 89C51单片机的功能结构 如下图所示,单片机是 属于 三总线结构,89C51单片机功能方框图如图2-1所示: 中断控制 外部中断 振荡器 内部中断 定时器定时器 计数器输入 64KB总线 扩展控制 控制信号 I/O端口 可编程全双工串行口 地址/数据 据据存储器

循环彩灯课程设计

任务书 专业:电子信息工程技术学号:200804520209 姓名:张小丽 设计题目:八路彩灯循环显示电路 一、实验目的: 1、学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 2、掌握计数、译码、显示综合电路的设计与调试。 3、掌握实际输出电路不同要求的实现方法。 4、学会光敏电阻与继电器的使用。 二、实验要求: 1. 设计内容:本课程要求设计一个八路循环彩灯。 2、性能要求: 1)接通电源后彩灯能自动循环发光。 2)彩灯循环发光可调。 3)该控制电路能控制八路输出显示。 三、设计内容: 硬件设计及样品制作。 四、设计成果形式: 1、设计报告一份。 2、样品一套。 五、小组分工: 根据个人擅长及相关专业技能,分工如下: 方案设计:张小丽 绘图:龙彦霖 安装与调试:谢志辉 六、完成期限: 2010年1月8日 注: 每人写一份实验报告,要根据个人分工有所侧重,报告相似程度不能高于80%。页面数目不少于20。每位成员应参与设计与制作的每个过程,要达到对整机设计相关知识的了解、安装与调试等相关技能的掌握。在交整机与报告时要求能回答老师所提的问题。 指导老师:年月日

目录 第1章八路循环彩灯功能要求及元器件介绍 (3) 1.1 基本功能 (3) 1.2 元器件介绍 (3) 1.2.1 555定时器 (3) 1.2.2 555多谐振荡器定 (3) 1.2.3 74LS90计数器 (4) 1.2.4 74LS138译码器 (5) 1.3 本章小结 (7) 第2章电路设计 (8) 2.1电路设计方案 (8) 2.1.1电路设计方案………………………………………………………………() 2.1.2电路工作原理………………………………………………………………() 2.1.3电路原理图…………………………………………………………………() 第3章 PCB版的制作………………………………………………………………… () 3.1 PCB版设计的设计原则……………………………………………………………() 3.1.1 布局………………………………………………………………………………() 3.1.2 布线…………………………………………………………………………… () 3.2 制版…………………………………………………………………………………() 3.3 焊接的基本常识……………………………………………………………………() 3.4 元器件的安装………………………………………………………………………() 第4章调试、测试分析及结果……………………………………………………() 4.1555多谐振荡器的调试……………………………………………………………() 4.274LS90计数器的调试……………………………………………………………() 4.374LS138译码器的调试………………………………………………………… () 4.4八路循环彩灯整机的调试……………………………………………………… () 第5章设计总结…………………………………………………………………… () 5.1 设计小结…………………………………………………………………………() 5.2 设计收获及体会…………………………………………………………………() 5.3 改善设计的建议…………………………………………………………………() 参考文献…………………………………………………………………………………() 附录一八路循环彩灯的原理图………………………………………………… ()

数字电路课设彩灯循环控制电路设计

《数字电子技术课程设计》报告——彩灯循环控制电路设计 摘要

本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,及其相连接的LED 会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V cc均为5V。运用了所学的555定时器、译码器、计数器及逻辑门电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识及理解,在实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,

有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

八路循环彩灯的设计方案

目录 摘要 (2) 第一章系统组成及工作原理 (3) 总体设计思路 (3) 基本原理 (3) 电路框图 (3) 第二章循环发光器的系统组成 (4) ) 555定时电路产生时钟脉冲 (5) 移位寄存器 (6) 方案二 74LS138及192的功能 (7) 第三章循环电路的总体设计 (8) 74LS194组成的电路 (9) 74LS138及74LS192组成的电路 (11) 第四章实验结果的调试及检测 (13) 调试使用的主要仪器 (15) ! 调试技巧的方法 (15) 调试中出现的故障、原因及排除方法 (15) 第五章总结 (17) 第六章附录 (18) 附录一 (18) 附录二 (18) 附录三 (19)

[ 摘要 本次循环控制彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。本次主要为全亮全灭,及左右移动的功能。 关键词:控制、循环、555定时电路 < )

, 彩灯循环控制电路的设计与制作 第一章系统组成及工作原理 总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯左右移,及全灭全亮功能输出电路。时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用74LS194实现。方案二中,主要是采用二进制译码器74LS138及中规模集成电路74LS192实现彩灯的循环控制。 基本原理 本次实验主要是通过两片双向移位寄存器74LS194来实现彩灯电路的循环控制,通过555定时电路来产生连续时钟脉冲进行信号的输入,由外围开关控制信号的移动方向,实现左移,右移,及全灭全亮功能。 ' 框图 -

八路彩灯循环电路

设计报告 学院电子与信息学院 课程名称电子电路课程设计设计题目八路彩灯循环电路 专业 班级 姓名 学号 指导教师 时间 目录

1.内容摘要(1) 2.设计目的(1) 3.设计任务与要求(1) 4.选定系统设计方案(1) 5. 单元电路的设计(3) 6. 总体电路图(3) 7. 芯片介绍(4) 8.电路的组装和调试(7) 9.电路的特点及改进意见(7) 10心得和体会(8) 11参考文献 (9) 12附录 A (9)

光控八路彩灯循环显示电路 内容摘要 由光实现控制的八路彩灯循环控制电路,彩灯由发光二极管模拟代替。该电路在有光照的条件下,没有电源输入,555震荡电路不工作,电路也没有输出,彩灯不会亮;当没有光照的条件,555开始工作,计数器开始计数,译码器有输出,彩灯自然会循环亮。 一、设计目的 1、学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 2、掌握计数、译码、显示综合电路的设计与调试。 3、掌握实际输出电路不同要求的实现方法。 4、学会光敏电阻与继电器的使用。 二、设计内容及要求 1. 设计内容:本课程要求设计一个由光控制的八路循环彩灯。 2、性能要求: 1)在有光的条件下,八路彩灯没有输出显示。 2)当没有光的条件下,八路彩灯有输出显示。 3)彩灯的闪烁按一定的规则变化,可通过输入电压调节彩灯闪烁的规律。 三、选定系统设计方案 拿到题目后,我经过一番的分析及初步的整体思考,列出了三个方案: 方案1:555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器CD4017,其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2、…、O9依次出现与时钟同步的高电平,宽度等于时钟周期。 方案2:电路中555定时器组成多谐振荡器,输出一定频率的矩形脉冲。74HCl63是同步4位二进制计数器,当输入周期性脉冲信号时,其输出为二进制数形式,并且随着脉冲信号的输入,其输出在0000-1111之间循环变化。通过4线-16线译码器74HCl54,其16条输出线按照74HCl63所加的二进制数依次变成低电平,哪条输出线为低电平,

8路彩灯设计电路

一、概述 多功能流水灯因其具有高效节能、美观耐用等特点,而被广泛应用于节日、广告装饰,同时也为家居、庭院、休闲广场等装饰增添了不少色彩。要求运用所学的数字逻辑知识设计一个8路彩灯控制电路。 8路彩灯控制电路功能描述:首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏灯依次流向第八盏灯,然后全部熄灭,反复循环这一过程。 理论部分已用Multisim软件进行仿真,完全符合要求。 二、方案论证 设计一个8路彩灯控制电路,利用8进制计数法器依次输出一个低电平信号,每个信号对应一盏彩灯,控制每盏灯依次点亮然后灭掉,以此循环,做成一组流水灯。方案一: 方案一原理框图如图1所示。 其中8进制计数电路是利用74LS161N十进制计数器改变而成,通过产生1000的输出信号的状态进行异步置零,完成8进制循环,由一片74LS138芯片进行译码,来输出信号控制彩灯。 图1 8路彩灯电路的原理框图 方案二: 基本实际思路不变,采用74LS160芯片,但是其输出信号由两片74LS138芯片进行控制,其中一片进行3-8进制译码,另一片控制循环。 本设计采用方案一,只用两片主要芯片74LS161和74LS138,节省资源。

三、电路设计 1.多谐振荡器电路 采用555定时器,输出一个周期的脉冲。由多谐振荡器的周期公式 T=(R1+2*R2)*Ln2*C可知。要求灯亮的时间为100ms-120ms,所以输出脉冲的周期也为100ms-120ms之间。本实验选取的6欧的R1,5欧的R2,10uF的电容,所以输出周期为111.7ms的脉冲。多谐振荡器电路图如图2。 图2 多谐振荡器示意图 对于多谐振荡器调试情况如图3。

彩灯循环控制电路的设计与制作

摘要 本次课程设计的任务是设计一个八个彩灯循环点亮电路.然而随着集成电路的迅猛发展,使得数字逻辑电路的设计出现了根本性的变化,使得我们在日常的电路设计中可以大大的被简化,减少电路组件的数目,从而使电路简捷,而且还能够提高电路的可靠性,稳定性. 根据我的理解,控制彩灯的循环点亮就是产生一系列有规律的数列,从而通过这一系列的数列来控制八个彩灯的循环点亮.在数字电路的理论课上,我们知道产生有规律的数列需要用到计数器或是移位寄存器.实际上,在该实验中二者皆可以用来设计该电路,只是各自的工作方式有区别而已.因此,通过计数器或移位寄存器控制彩灯的循环点亮. 其次,即使脉冲产生电路,我们知道555定时器可以作为多谐振荡器,并且利用555定时器设计的多谐振荡器产生的序列脉冲受干扰小,稳定性高,我们只需要选定相应的电阻和电容来控制周期就可以很好的控制彩灯显示频率. 最后,彩灯显示电路采取并联接法,利用产生的数列来控制彩灯的亮暗.再就是将以上三部分电路组合起来,从而构成完整电路,达到设计目标. 关键字:数字逻辑电路;彩灯循环控制;集成芯片;

彩灯循环控制电路的设计与制作 1.结构设计与方案选择 1.1 方案设计 1.1.1 设计方案一 1)二进制双向计数电路: 图2 74LS192引脚图 74LS192的功能表:

表一 74LS192的功能表 利用芯片74LS192,通过了解它的功能表,我们知道它既可以作为加数器和减数器,因此利用这一特性,可以通过控制芯片74LS194的置数功能:当需要循环点亮左移时,我们可以给四个置数端0123p p p p 置数为0000,并且控制1u CP =,D CP CP =,0MR =,从而构成加计数器,使输出0123Q Q Q Q 为0000000100100011...→→→→; 同理,我们要实现彩灯循环点亮右移时,给四个置数端0123p p p p 置数为0111,控制u CP CP =,1D CP =,0MR =,从而构成减计数器,使输出为0123Q Q Q Q 为0111011001010100...→→→→;因此,通过上面的叙述我们通过对74LS194的控制来产生有规律的数字序列. 2)3线-8线译码器: 图3 74LS138的引脚图 74LS138的功能表:

八路彩灯循环点亮电路设计

设计题目:八路彩灯循环点亮电路设计 前言 电子电路中的信号可分为两类:一类是随时间连续变化的信号,称为模拟信号,例如温度的变化、声音在空气中的传播、表的指针指示的时间、正弦交流信号等。用来产生、传输、处理模拟信号的电路称为模拟电路。另一类是时间上和数值上都不连续变化的离散信号,称为数字信号,例如数字电子表显示的时间量、数字万用表测量的量、工厂产品量的统计等。用来产生、传输、处理数字信号的电路称为数字电路。 数字电路的工作信号在时间上和数值上是不连续变化的。数字信号反映在电路上只有高电平和低电平两种状态,高电平通常为+3.5 v左右,低电平通常为+0.3 v左右。这两种状态很方便地用二极管或三极管的导通、截止即开、关状态来实现。分别用1和。表示这两个状态,就可以用二进制数进行信息的传输和处理。所以数字电路就不能采用模拟电路的分析方法。 利用数字电路技术数出给定时间内所通过的脉冲数并显示计数结果的数字化仪器。电子计数器是其他数字化仪器的基础。在它的输入通道接入各种模-数变换器,再利用相应的换能器便可制成各种数字化仪器。电子计数器的优点是测量精度高、量程宽、功能多、操作简单、测量速度快、直接显示数字,而且易于实现测量过程自动化,在工业生产和科学实验中得到广泛应用。电子计数器按功能可分3类。①通用计数器:可测频率、周期、多周期平均、时间间隔、频率比和累计等。②频率计数器:专门用于测量高频和微波频率的计数器。③计算计数器:具有计算功能的计数器,可进行数学运算,可用程序控制进行测量计算和显示等全部工作过程。④微波计数器:是以通用计数器和频率计数器为主配以测频扩展器而组成的微波频率计。它的测频上限已进入毫米波段,有手动、半自动、全自动3类。系列化微波计数器是电子器发展的一个重要方面。 计数数字显示器是接收、处理数字信号的显示器,作为一种先进的显示器类型,数字显示器正在呈现加速发展的态势。 数字显示器的先进性首先体现在信号的保真度上,在传输的过程中,数字信号的损失几乎为零,因此数字显示器的显示效果更加逼真;其次,数字显示器具有单一模式的回路结构,因而系统更简单、更可靠;第三,数字显示器中没有了可见扫描线的干扰,因此能够显现更清晰的动态图像、游戏、照片等,能获得图形作业所需的高水准图像效果。同时数字显示器可以更有效地实现与显示效果直接相关的性能,如色彩修正,肤色补偿,色彩再校准等。 目前的数字显示器并非绝对的数字显示器,它同时可以接受、处理数字信号和模拟信号,因此兼有两种显示器的特点。

8个LED灯循环闪烁课程设计

摘要 “微机原理与接口技术”是高等学校电子信息工程、通信工程、自动化、电气工程及其自动化等工科电气与电子信息类各专业的核心课程。该课程以INTER 8086微处理器和IBM PC系列微机为主要对象,系统。深入地介绍了微型计算机的基本组成、工作原理、接口技术及应用,把微机系统开发过程中用到的硬件技术和软件技术有机地结合起来。 本文详述了8个LED灯循环闪烁的课程设计。设置8个LED灯,首先是1、3、5、7号LED依次亮1秒钟,当7号LED亮后,这四个灯同时闪烁5下。然后是2、4、6、8号LED 依次亮1秒钟,当8号LED亮后,这四个灯同时闪烁5下。 本课程设计,由于自身能力和学习水平有限,可能存在一定的错误和不当之处,敬请批评和指正。 一、设计目的 1.巩固和加深课堂所学知识;熟悉各种指令的应用及条件; 2.学习掌握一般的软硬件的设计方法和查阅、运用资料的能力; 3.进一步了解8255A各引脚的功能, 8255A和系统总线之间的连接, 8255A和CPU 之间的数据交换,以及8255A的内部逻辑结构。深入掌握8255A显示电路的基本功能及编程方法,8255等芯片的工作方式、作用。 4.培养和锻炼在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力。通过课程设计,要求熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,得到微机开发应用方面的初步训练。同时并了解综合问题的程序设计掌握实时处理程序的编制和调试方法,掌握一般的设计步骤和流程,使我们以后搞设计时逻辑更加清晰。 二、设计内容 根据所学内容和对8255A的应用,整个系统硬件采用8086微处理器和8255A可编程并行接口芯片和8个LED等连成硬件电路。设计8个LED灯,实现如下要求:首先是1、3、5、7号LED依次亮1秒钟,当7号LED亮后,这四个灯同时闪烁5下。然后是2、4、6、8号LED依次亮1秒钟,当8号LED亮后,这四个灯同时闪烁5下。 三、设计要求 使用8255A可编程接口芯片实现8个LED灯以十种不同的方式显示。画出硬件电路图,能在PROTEUS中模拟实现。

8路彩灯控制器设计详细讲解(附图)

8路彩灯控制器设计详细讲解(附图)学校:北华大学 班级:信息 11-2 姓名:王杰 学号:28号 指导教师:曲萍萍 实验日期:2013.5.20—5.24 一、软件内容 二、实习目的和任务三、设计原理过程四、最终设计方案五、实习心得 六、参考文献 一、软件内容 MAX-Plus?开发软件是美国Altera公司自行设计的第三代课编程逻辑器件的EDA开发工具。它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX以及ACEX系列的PLD器件。MAX-Plus?开发软件丰富的图形界面和完整的、可即时访问的在线帮助文档,使设计人员能够轻松愉快地学习和掌握MAX-Plus?开发软件,方便地实现设计目的。 MAX-Plus?的特点:1、支持多种操作平台;2、提公开发性的界面;3、提供与PLD 器件结构无关的设计环境;4、提供丰富设计库;5、支持多种输入方式;6、具有高度集成化的开发环境;7、具有模块化的设计工具;8、提供Megacore功能;9、具有Opencore特性

MAX-Plus?的主要功能:1、支持PLD器件;2、支持的设计输入方式;3、提供设计编译;4、提供设计验证;5、提供器件的编程和配置 MAX-Plus?的设计流程:1、设计输入;2、设计检查;3、设计便衣;4、设计仿真;5、器件编程;6、测试验证;7、修改设计。二、实习目的和任务实习目的:1、进一步掌握数字电路课程所学的理论知识。 2、熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理, 进一步学会使用其进行电路设计。 3、了解数字系统设计的基本思想和方法,学会科学分析和解决问 题。 4、培养认真严谨的工作作风和实事求是的工作态度。 5、数点课程实验是大学中为我们提供的唯一一次动手实践的机会, 增强动手实践的能力。 实习任务:设计一个能实现8路彩灯循环显示的彩灯控制器。具体要 求如下:1、8路彩灯的循环花型如下表所示;2、节拍变 化的时间为0.5s和0.25s,两种节拍交替运行;3、三种 花型要求自动循环显示 移存器输出状态编码表 节拍序号花型1 花型2 花型3 1 00000000 00000000 00000000 2 10000000 00011000 10001000 3 11000000 00111100 11001100 4 11100000 01111110 11101110 5 11110000 11111111 11111111 6 11111000 11100111 01110111 7 11111100 11000011 00110011 8 11111110 10000001 00010001 9 11111111 10 11111110 11 11111100 12 11111000 13 11110000 14 11100000

八路彩灯课程设计八路彩灯控制器的设计

八路彩灯课程设计--八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。 关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路

根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR '控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要20秒,故需要一个20进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR ’。可以用一个16进制计数器产生脉冲信号,一路送到控制20进制的计数器,一路经逻辑电路送到移位寄存器。 2.设计框图

Word版可编辑-八路循环彩灯电路设计精心整理.doc

八路循环彩灯电路设计 摘要:灯光的闪烁和流动可以用于各种各样的装饰,例如电子门标、广告装饰等。灯 光的流动总是特别吸引别人的注意力。在夜晚,在城市的街头,当广告牌上的灯光流动起来时,城市就会马上变得生动起来。我所设计的彩灯控制电路是原理很简单的一种利用大学所学到的数电知识制成。只要了解几种集成电路便可轻松明白它的原理。做好的彩灯可以放在室内,主人会感到温馨;放在餐厅等地方可以增加氛围。 本文基于电子线路CAD常用软件Protel99SE设计了一个简单的循环彩灯电路,各路彩灯由发光二极管模拟代替。采用555定时器,计数器,移位器,产生控制循环信号,再利用74LF161A计数器和74LS194左右移位寄存器组成驱动电路来依次控制彩灯循环闪烁。循环彩灯电路由驱动电路、输出电路、时钟电路和电源等为整个系统工作提供所需的能源。CMOS 电路对电源电压的要求相对比较宽松。循环彩灯电路是由TTL集成电路做成,并且采用5伏电源供电。时钟电路是由555多谐自激震荡集成电路制成,与电阻和电容一起构成时钟周期发生器,为电路提供时钟信号,支持整个电路的工作。驱动电路是由74LF161A计数器和74LS194左右移位寄存器组成,用以驱动发光二极管正常工作,并且在时钟电路的控制下让八个发光二极管循环工作。 关键词:八路彩灯;555定时器;计数器;移位寄存器 The Design Of Eight Lines Circulating Lights Abstract: Lights flashing and the flow can be used for a variety of decoration, such as electronic door standard, advertising and decoration. The flow of light is always special to attract people's attention. At night, the streets in the city, when the flow of light on the billboards up, the city will soon get to life. I designed the lights control circuit is a very simple principle learned to use the University made several electrical knowledge. As long as several integrated circuits can easily understand its principles. Do the lights can be placed indoors, the owner will feel warm; on restaurants and other places to increase the atmosphere. Based on the electronic circuits commonly used CAD software Protel99SE designed a simple circuit lights cycle, and the brightest lights from the light-emitting diode simulations instead. Using 555 timers, counters, shifters, resulting in the control loop signal, and then use 74LF161A around the counter and 74LS194 shift registers control drive circuit to turn lights cycling. Cycle lights circuit by the drive circuit, output circuit, clock circuit and power supply for the entire system to provide the necessary energy. CMOS circuits the power supply voltage requirements are relatively relaxed. Cycle lights circuit is made by TTL integrated circuits, and the 5-volt power supply. Clock circuit is more than 555 integrated circuit made of harmonic self-excited oscillation, together with resistors and capacitors constitute the clock generator providing clock signals for the circuit to support the entire circuit. Drive circuit is 74LF161A counter and shift register 74LS194 about the composition, light-emitting diodes to drive to work, and under the control of the clock circuit so that the work cycle of eight light-emitting diodes. Key words:Eight lines circulating lights; 555 timer; Counter; Shift register

八路彩灯循环显示操纵电路课程设计

目录 1前言.................................................... 错误!未定义书签。 序言..................................................... 错误!未定义书签。 目前彩灯的应用情形....................................... 错误!未定义书签。 要紧工作概述............................................. 错误!未定义书签。 2 整体方案设计............................................ 错误!未定义书签。 方案比较................................................. 错误!未定义书签。 方案论证................................................ 错误!未定义书签。 方案选择................................................. 错误!未定义书签。 3.单元模块电路设计........................................ 错误!未定义书签。 时钟信号发生器.......................................... 错误!未定义书签。 序列信号发生器......................................... 错误!未定义书签。 移位输出显示电路....................................... 错误!未定义书签。 4软件设计 .............................................. 错误!未定义书签。 仿真软件................................................ 错误!未定义书签。 Altium designer软件 ................................... 错误!未定义书签。软件的设计结构............................................ 错误!未定义书签。 5系统调试.............................................. 错误!未定义书签。 脉冲信号发生器的调试................................... 错误!未定义书签。 序列信号发生器和以为输出显示电路调试.................... 错误!未定义书签。 整体电路的调试.......................................... 错误!未定义书签。 系统实现的功能.......................................... 错误!未定义书签。6设计总结与收成 .......................................... 错误!未定义书签。 7 参考文献................................................ 错误!未定义书签。附录:彩灯显示操纵电路原理图.............................. 错误!未定义书签。

八路彩灯控制器的设计

八路彩灯控制器的设计 八路彩灯控制器设计:原理、实现与注意事项 在当今社会的灯光照明领域,八路彩灯控制器被广泛应用于各种场合,如舞台、夜景照明、娱乐场所等。它具有灵活性高、易于控制、色彩丰富等特点,为我们的生活带来了多姿多彩的视觉体验。本文将详细介绍八路彩灯控制器的设计原理、实现方法以及相意事项。 八路彩灯控制器是一种可以控制八组彩灯的电子设备,通过接受控制器发出的信号,彩灯能够以各种不同的方式进行亮灭和闪烁。其核心设计思路是利用微控制器对彩灯进行分组控制,通过编程实现各种灯光效果。 要实现一个八路彩灯控制器,首先需要选择合适的微控制器和元器件。微控制器是整个控制器的核心,它负责处理输入信号并输出控制信号。元器件的选择包括电源、彩灯、电阻、电容等电子元件。在电路设计中,我们需要将彩灯分成八组,每组由一个微控制器引脚控制。此外,还需要设计一个电源电路,为整个系统提供稳定的工作电压。 在编写程序时,我们需要根据实际需求对微控制器进行编程。具体来说,我们需要使用编程语言(如C语言)编写一个程序,实现以下功

能:接受输入信号、处理信号、根据信号控制彩灯的亮灭和闪烁。程序应该包括初始化函数、主循环函数和其他辅助函数。在初始化函数中,我们需要设置微控制器的引脚模式和初始状态。在主循环函数中,我们需要不断检测输入信号并输出控制信号,实现彩灯的各种效果。为了避免出现故障,影响控制效果,我们需要注意以下事项: 1、电路抗干扰能力:在电路设计和元器件选择时,需要考虑抗干扰能力。对于电源电路,可以使用滤波电容来减少电源噪声干扰。对于信号传输线路,可以使用屏蔽线或光纤传输,以减小外部干扰。 2、元器件选择:在选择元器件时,需要考虑其性能参数和可靠性。对于彩灯,应选择品质可靠的产品,并考虑其功率和颜色。对于微控制器和其他电子元件,应选择具有较高抗干扰能力和稳定性的产品。 3、接线方式:在连接电路时,需要遵循正确的接线方式。对于电源电路,应确保电源的正负极连接正确,避免短路。对于控制线路,需要将每组彩灯的正负极分别连接到微控制器的引脚,同时需要避免不同组之间的彩灯互相干扰。 4、软件抗干扰能力:在编写程序时,需要考虑软件的抗干扰能力。对于输入信号,可以使用软件滤波算法进行处理,以减小噪声干扰。

循环彩灯设计报告

课程设计(论文) 题目名称彩灯设计 课程名称综合电子课程设计 学生姓名刘焱坤 学号 1241301036 系、专业信息工程系、电子信息工程 指导教师胡湘娟 2014年11月5日

摘要 随着现代生活水平不断提高,日常生活、节日娱乐及科研中地循环彩灯设计及装饰扮演越来越重要地角色.为实现多路彩灯地循环多花样显示,本次设计以+5V电源供能,采用555定时器组合构成多谐振荡电路提供脉冲信号,由74LS161集成块进行数据选择,三块74LS138集成块依次输出低电平,对小灯进行选择开关控制亮灭.利用D触发器实现74LS138集成块地单独工作.本设计实现了8路彩灯依次亮、奇数灯亮及偶数灯亮三种循环显示方式,且通过改变555定时器中地电阻阻值可实现循环彩灯地频率可调.设计电路在Protues中成功运行,且效果良好,证明本次循环彩灯电路设计是可行地,有效地. 关键词:循环彩灯;555定时器;74LS161数据选择器;74LS138

目录 1 设计任务 (4) 1.1设计目地和意义 (4) 1.2 电路要求 (4) 2 系统设计 (4) 2.1总体方案设计 (4) 2.2 方案论证与对比 (4) 2.3 具体电路设计 (5) 2.3.1 振荡电路设计 (5) 2.3.2 计数器、译码器设计 (6) 2.3.3 显示电路设计 (7) 2.4 系统总体电路 (9) 2.5 系统所用元器件 (9) 3 系统调试与仿真 (10) 3.1 多谐振荡电路地调试 (10) 3.2 彩灯循环显示功能地调试 (10) 4 总结 (10) 4.1 本系统地优缺点 (10) 4.2 心得体会 ..................................................................................... 错误!未定义书签。参考文献 (12)

8路彩灯控制器课程设计

8路彩灯控制器课程设计 1. 引言 8路彩灯控制器是一种用于控制多个彩灯的设备,可以实现对彩灯的亮度、颜色、闪烁等功能进行调节。本课程设计旨在通过设计和实现一个基于Arduino的8路彩灯控制器系统,让学生了解并掌握彩灯控制器的原理和应用。 本文将从以下几个方面进行详细介绍:系统设计概述、硬件设计、软件设计、系统测试与调试以及课程教学建议。 2. 系统设计概述 本系统采用Arduino作为主控芯片,通过与8个彩灯模块的连接,实现对彩灯的控制。系统具有以下特点: •支持多种亮度和颜色调节方式,如PWM调光和RGB颜色混合。 •支持闪烁效果的设置和控制。 •可以通过串口或无线通信进行远程控制。 3. 硬件设计 3.1 硬件组成 本系统的硬件组成如下: •Arduino UNO开发板:作为主控芯片,负责接收指令并控制彩灯。 •彩灯模块:共8个,每个模块包含一个彩灯和相应的控制电路。 •电源模块:用于为Arduino和彩灯模块提供电源。 3.2 连接方式 将Arduino与彩灯模块连接如下: •将8个彩灯模块的控制引脚分别连接到Arduino的数字IO口。 •将彩灯模块的电源引脚连接到电源模块的输出端。 •将Arduino的GND引脚与电源模块的GND引脚相连。 4. 软件设计 4.1 控制逻辑 系统的控制逻辑如下: 1.初始化系统,设置各个IO口的功能和初始化默认参数。

2.进入主循环,等待指令。 3.接收指令并解析,根据指令类型执行相应操作。 4.执行完毕后返回主循环。 4.2 主要功能实现 本系统的主要功能包括: •彩灯亮度调节:通过PWM信号控制彩灯亮度,可以实现从全亮到全暗的无级调光效果。 •彩灯颜色调节:通过RGB三基色混合,可以实现多种颜色的选择和调节。•彩灯闪烁效果:通过控制彩灯的开关状态和亮度,可以实现闪烁效果。 4.3 程序框架 系统的程序框架如下: void setup() { // 初始化系统 } void loop() { // 等待指令 // 解析指令 // 执行操作 } 5. 系统测试与调试 在完成硬件和软件设计后,需要进行系统测试与调试,以确保系统功能正常。测试与调试的步骤如下: 1.检查硬件连接是否正确。 2.烧录程序到Arduino开发板。 3.运行程序,观察彩灯的亮度、颜色和闪烁效果是否符合预期。 4.测试各种控制指令,包括亮度调节、颜色调节和闪烁设置等。 5.对系统进行全面测试,确保各种功能正常工作。 6. 课程教学建议 本课程设计适用于电子信息工程、自动化、计算机等相关专业的本科生课程。以下是一些建议的教学方法: •结合理论与实践:通过讲解彩灯控制器的原理和应用,结合实际操作演示,帮助学生理解并掌握相关知识。

8路彩灯控制电路设计

《8路彩灯控制电路设计》 课程设计报告 专业: 班级: 姓名: 学号: 指导教师: 2014年 6 月25 日

目录 1.课程设计的目的 (1) 2.课程设计题目描述和要求 (1) 3.电路设计 (1) 4.设计过程中遇到的问题及解决办法 (7) 5.结论与体会 (8) 附表参考书目 (9)

1. 课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.掌握数字电路课程学习的常见芯片的功能,熟悉其工作原理; 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁 设计要求 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计 3.1闪烁花型设计 花型Ⅰ:8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。 花型Ⅱ:8路彩灯由中间到两边对称的依次点亮,全亮后仍由中间到两边对称熄灭。 花型Ⅲ:8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型Ⅳ:8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3.1.1所示。 每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 表3.1.1 8路彩灯输出状态编码表

3.2设计原理 3.2.1系统的逻辑功能分析 彩灯控制电路的原理框图如图3.2.1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。 图3.2.1 彩灯控制器原理图 编码器根据花型按节拍输出8路编码信号,控制彩灯按规定的规律亮、灭;

相关主题
相关文档
最新文档