数字逻辑白中英第六版习题解答

数字逻辑白中英第六版习题解答
数字逻辑白中英第六版习题解答

《数字逻辑》(白中英)(第六版)

习题解答

第1章开关理论基础

1、将下列十进制数化为二进制数和八进制数:

十进制二进制八进制

49 110001 61

53 110101 65

127 1111111 177

635 1001111011 1173

7.493 111.011111100 7.374

79.43 1001111.0110110 117.33

2、将下列二进制数转换成十进制数和八进制数:

二进制十进制八进制

1010 10 12

111101 61 75

1011100 92 134

0.10011 0.59375 0.46

101111 47 57

01101 13 15

3、将下列十进制数转换成8421BCD码:

1997=0001 1001 1001 0111

65.312=0110 0101.0011 0001 0010

3.1416=0011.0001 0100 0001 0110

0.9475=0.1001 0100 0111 0101

4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X

为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式

C AB C B A BC A X ++=

5、求下列函数的值:

当A,B,C 为0,1,0时: BC B A +=1

))((C B A C B A ++++=1 B C A B A )(+=1

当A,B,C 为1,1,0时: BC B A +=0

))((C B A C B A ++++=1 B C A B A )(+=1

当A,B,C 为1,0,1时: BC B A +=0

))((C B A C B A ++++=1 B C A B A )(+=0

6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

所以由真值表得证。

7、证明下列等式

(1)B A B A A +=+

证明:左边=B A A +

=B A B B A ++)(

=B A AB B A ++

=B A AB AB B A +++ =B A A B B A )()(+++ =B A + =右边

(2)BC AB C AB C B A ABC +=++

证明:左边= C AB C B A ABC ++

= ABC C AB C B A ABC +++ =)()(C C AB B B AC +++ =AB AC + =右边 (3)E CD A E D C CD A C B A A ++=++++)( 证明:左边=E D C CD A C B A A )(++++ =A+CD+A B C +CD E =A+CD+CD E =A+CD+E =右边

(4) C B A C B A B A ++=C B C A B A ++ 证明:左边=C B A C B A B A ++ =C B A C AB C B A B A +++)( =C B C A B A ++=右边

8、用布尔代数简化下列逻辑函数 (1)B C CB C B A ABC A F ++++= B C CB C B A ABC A ++++=)( B C CB A ++= C B A ⊕+=

(2)C B A D A B A D C AB CD B A F ++++= )D A D C AB ()C B A B A CD B A (++++= D A B A +=

(3)C B ABCD D BC ABD D ABC F ++++=

C B

D BC ABD ABC +++= C B D B ABD ABC +++= )(C D AD AC B +++= )(D A C A B +++= D B C B AB ++= (4)C AB C B BC A AC F +++= C AB C B )BC A AC (??+= )C B A )(C B )(BC AC (++++= )C B A )(BC ABC (+++= )BC ABC BC A (++= BC =

10、用卡诺图化简下列各式 (1)C AB C B BC A AC F +++=

C F =

说明:卡诺图中标有0的格子代表C B BC A AC F 1++=,1F 则是标有0之外的其

余格子。

(2)C B A D A B A D C AB CD B A F ++++=

D A B A F +=

(3)F(A,B,C,D)=∑m(0,1,2,5,6,7,8,9,13,14)

10

1 1

D BC D C A BC A D C C B F ++++=

(4)F(A,B,C,D)=∑m(0, 13,14,15)+∑φ(1,2,3,9,10,11)

CD

AB 00 01

11

10

00 1 φ φ φ 01 11 1 1 1 10

φ

φ

φ

AC AD B A F ++=

11、用与非门实现下列函数,并画出逻辑图。 (1)C B A C AB F +=

C A C A )B B (C A ==+=

(2))D C )(B A (F ++=

D C B A +++= D C B A += D C B A += D C B A ?=

12、画出F 1和F 2的波形图

B A B A B A F 1⊕=+=

C F F 12⊕=

A B C F 1

F 2

第2章 组合逻辑

1、分析图P2.1所示的逻辑电路。 1)B A B A B AB B AB F =+=+=+= 2)B A F =1

B AB

C F =2 C ABC F =3

)(321321C B ABC B A C ABC B ABC B A F F F F F F F ++=++=++=??= ))((C B C B A B A ++++=

)(C C C B C B B B C A B A B A ++++++= C B C B C A B A +++=

4、分析P2.3所示逻辑电路图的功能。 1)用逐级电平推导法:

F=0 → F i =0 → i A =1 → A i =0 2)列写布尔代数法:

43214321F F F F F F F F F ???=+++= 32101A A A A F = 76542A A A A F = 1110983A A A A F = 151413121A A A A F =

15141312111098765432104321A A A A A A A A A A A A A A A A F F F F F =???= 可见,当A 0~A 15均为0时,F=1。

5、分析图P2.5所示的逻辑电路。

301201101001X A A X A A X A A X A A F +++=

显然,这是一个四选一数据选择器,其中A 1、A 0为选择控制输入: A 1A 0=00时,F=X 0 A 1A 0=01时,F=X 1 A 1A 0=10时,F=X 2 A 1A 0=11时,F=X 3

6、图P2.6为两种十进制代码转换器,输入为余三码,分析输出是什么代码? 1)逻辑表达式:

)(B CD A AB ACD AB ACD W +=+=?=

)(D C B BCD D B C B BCD D B C B BCD X ++=++=??= CD B CD B BCD ⊕=?+= D C D C D C D C D C Y ⊕=+=?= D Z = 2)真值表:

由真值表可知,该电路为余三码到8421BCD 码转换电路。 7、分析图P2.7所示代码转换电路的功能。 1)逻辑表达式: 33X Y = 232X X Y ⊕=

122121)()(X Y M MX X MX M Y Y ⊕+=⊕?= 0111100)()(X Y M MX Y M MX X Y ⊕+=?⊕= 当M=1时: 33X Y = 232X X Y ⊕= 121X X Y ⊕= 010X X Y ⊕= 当M=0时: 33X Y = 232X X Y ⊕= 1231X X X Y ⊕⊕= 01230X X X X Y ⊕⊕⊕=

2)真值表

M=1时的真值表 M=0时的真值表

8421码 → 循环码 循环码 → 8421码

8、已知输入信号A, B, C, D 信号的波形如图P2.8所示,设计产生输出F 波形的组合逻辑电路。

1)真值简表(只列出F=1的情况)

2)逻辑表达式

F=∑m (1,3,4,5,8,9,10,11,12)

C B A

D C B D B B A F +++=

3)逻辑电路图(略)

9、【解】

1)真值表(输入“1”表示不正常,输出“1”表示亮)

2)逻辑表达式

C B A ABC C B A C B A C B A F R ⊕⊕=+++=

BC AC AB ABC C AB C B A BC A F Y ++=+++=

C B

A F G =

3)逻辑电路图(略) 19、【解】

1)真值表(输入“1”表示按下,输出F=表示开锁,G=1表示报警)

2)逻辑表达式

AC AB ABC C AB C B A F +=++=

C A B A BC A C B A C B A G +=++=

3)逻辑电路图(略)

第3章 时序逻辑

7.【解】 1)激励方程

23Q J = 12Q J = 21Q J = 23Q K = 12Q K = 31Q K = 2)状态转移表

3)状态转移图(简图)

由状态转移表可知,电路只形成一个封闭的循环,因此能够自启动。

101→010┐ ↓ 000→001→011→111→110→100 ┐ ↑ │

└──────────┘

8.【解】 1)状态方程

n n Q D Q 2213==+ n n Q D Q 1112==+ n

n n Q Q D Q 2

3111==+ 2)状态转移表

3)状态转移图(简图)

111┐ ┌101←010 ↓ ↓ 000→001→011→110→100┐ ↑ │ └──────────┘

9.【解】 1)状态编码

采用常规的计数器法,须3个触发器。 2)状态转移表

计数器有6个状态,状态010和110未使用,可令这2个状态的次态为已使用的6个状态之一。

3)激励方程

12131231231233Q Q Q Q Q Q Q Q Q Q Q Q Q D +=++= 131231232Q Q Q Q Q Q Q Q D =+=

12231231231231231Q Q Q Q Q Q Q Q Q Q Q Q

Q Q Q Q D ++=+++= 4)电路图(略)

13.【解】 1)输出方程 21Q Q Z = 2)激励方程

x Q J ⊕=12 11=J x Q K ⊕=12 11=K 3)状态转移表

4)状态转移图(简图)

x=0时,为加法计数器 x=1时,为减法计数器

16.【解】

1)由波形图可知,电路有7个状态。 2)状态表

3)状态转移表

状态000没有在波形图中出现,为了让电路能够自启动,可令上述7个状态中任意一个作为状态000的次态。

4)激励函数(下边表达式中的φ为最小项000) D 3=∑(3,7,6,2) + φ = 2313Q Q Q Q + D 2=∑(3,7,4,1) + φ = 121213Q Q Q Q Q Q ++ D 1=∑(3,2,5,1) + φ = 123Q Q Q +

在利用卡诺图化简中,D 2和D 1使用了任意项“000”,故状态000的次态为011。

5)电路图(略)

19.【解】 1)状态编码

时序机有4个状态,用2个D 触发器表示,并设S 0=00,S 1=01,S 2=10,S 3=11。 2)状态转移表

3)激励函数

21212121212121

2

2Q k Q Q Q Q k Q Q k Q Q k Q kQ Q Q k Q D n ++=+++==+ 121212*********Q k Q k Q Q k Q Q k Q Q k Q Q k Q Q k Q D n ++=+++==+ 4)逻辑电路图(略)

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

数字逻辑(第六版 白中英)课后习题答案

第七章 A/D 转换与D/A 转换 1 ADC :模/数转换器analogue digital converter ; DAC :数/模转换器 digital analogue converter ; DSP :数字信号处理器 digital signal processor 。 2. 3. 4

答:二进制编码0011来自ADC 输出,它通过丢失台阶来指示。可能情况下,转换器的输出“3”被粘住在不活动的状态(低电平)。 5. 由电路图知,R 0是输入二进制吗最低位对应的权电阻,所以有 V V K K V K R R K R D V R R V K R R K R R K R R o f i i i REF f o 0625.4)212121(2 5105代入得: ,10,5其中,22,108/,204/,402/023333 03030201-=?+?+??ΩΩ-=Ω==Ω=??-=Ω==Ω==Ω==∑= 6. (1)8为D/A 转换器的最小输出电压增量,即是数字量00000001对应的模拟电压量,或数字量每增加一个单位,输出模拟电压的增加量。输入代码01001101对应的模拟电压为: Vo =0.02(26+23+22+20)=1.54 V (2)8位转换器的分辨率百分数为: %3922.0%100121 8 =?- (3)若要求D/A 转换器的精度小于0.25%,则其分辨率应小于0.5%,因此,这一8位D/A 转换器可满足系统的精度要求。 7. (1)仅最高位接通时,R 10提供的电流为 mA V I 11010103 10=Ω?= 由于最高位电阻的容差所造成的电流误差为: A mA μ5.0%)05.0(1±=±? (2)首先求最低位电阻的阻值 Ω=-?=M R R 12.51210101 仅最低位接通时,R1提供的电流为 A V I μ953.11012.5106 1=Ω?= 最低位造成的电流误差为: A A μμ009765.0%)5(953.1±=±? 对于权电阻网络的D/A 转换器,数字量的位数越多,高低位权电阻的阻值相差越大;相同容 差下,由于各电阻所在位的权值不同,所引入的误差相差也越大。

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

《数字逻辑》(白中英)(第六版)习题解答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110 0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X

为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明: 所以由真值表得证。

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

《数字逻辑》(白中英)(第六版)习题解答教学提纲

《数字逻辑》(白中英)(第六版)习题解 答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110

0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A 、B 、C ,当其中有两个输入端为高电平时,输出X 为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

数字逻辑课程设计

《数字逻辑课程设计》 实验报告书 专业班级计算机科学与技术074班 学号 姓名 指导教师徐国雄 安徽工业大学计算机学院

数字逻辑课程设计之数字钟 一.设计目的 1.掌握各类计数器及将它们相连的方法; 2.掌握多个数码管动态显示的原理与方法; 3.掌握用FPGA技术的层次化设计方法; 4.进一步掌握用VHDL硬件描述语言的设计思想; 5.了解有关数字系统的设计。 二.设计要求 1、显示时、分、秒的十进制数字显示,采用24小时制; 2、校时功能; 三.选用器材 EDA-V试验箱 四.原理分析和电路设计 1.时钟脉冲 时钟脉冲有EDA-V试验箱上的时钟脉冲提供,分别对计时电路和扫描 LED电路提供时钟脉冲信号。 2.计数器 计数器采用4位二进制同步加法计数器74LS161,如下图所示。分别对秒 钟、分钟和时钟进行控制。当74161的两个使能端输入为高电平,同时 LDN和CLRN端为高电平时,输入时钟脉冲时,计数器会在时钟脉冲上升沿的作用下进行循环加一运算,正好符合电子钟在正常计时时的工作特点;当CLRN端为低电平时,无需时钟脉冲的作用,计数器的输出将自动变为0,这就是所谓的异步清零,这个功能可以提供我们当秒钟的后半部分到达10需要返回到0时,将其置为0的功能;当CLRN端为高电平,LDN端为低电平时,在时钟脉冲的上升沿的推动下,计数器的输出将直接由输入决定,这可以满足我们设置时间的要求。 3.译码显示电路 译码显示采用自己定义的两个用AHDL语言描述的自定义元件半字节分离器和扫描显示电路驱动DELED 。 图像分别如下: 描述文件如下: Half_byte:

SUBDESIGN half_byte ( din[23..0]:input; s[2..0]:input; dout[3..0]:output; ) begin if s[2..0]==0 then dout[3..0]=din[3..0]; end if; if s[2..0]==1 then dout[3..0]=din[7..4]; end if; if s[2..0]==2 then dout[3..0]=din[11..8]; end if; if s[2..0]==3 then dout[3..0]=din[15..12]; end if; if s[2..0]==4 then dout[3..0]=din[19..16]; end if; if s[2..0]==5 then dout[3..0]=din[23..20]; end if; end; DELED: SUBDESIGN deled ( num[3..0]:INPUT; a,b,c,d,e,f,g:OUTPUT; ) BEGIN TABLE num[3..0]=>a,b,c,d,e,f,g; H"0" =>1,1,1,1,1,1,0; H"1" =>0,1,1,0,0,0,0; H"2" =>1,1,0,1,1,0,1; H"3" =>1,1,1,1,0,0,1; H"4" =>0,1,1,0,0,1,1; H"5" =>1,0,1,1,0,1,1; H"6" =>1,0,1,1,1,1,1; H"7" =>1,1,1,0,0,0,0; H"8" =>1,1,1,1,1,1,1; H"9" =>1,1,1,1,0,1,1; H"A" =>0,0,1,1,1,1,1; H"B" =>0,0,1,1,1,1,1; H"C" =>1,0,0,1,1,1,0; H"D" =>0,1,1,1,1,0,1; H"E" =>1,0,0,1,1,1,1; H"F" =>1,0,0,0,1,1,1; END TABLE; END; 半字节分离器的SEL[0..24]端输入的分别是时分秒的8421码的输入端口,SE[0..2]端输入的是从一个74161输入的选择信号,依次扫描六个LED灯。 2

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B 3B 2 B 1 G 3G 2G

数字逻辑心得体会

数字逻辑心得体会 数字逻辑心得体会 数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了厚理博术、知行相成的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教

学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译

白中英版 数字逻辑 第二章答案

第二章 组合逻辑 1. 分析图中所示的逻辑电路,写出表达式并进行化简 2. 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与 A 、B 的关系。 F1= F2= F=F 1F 2= B F = AB + B = AB F = AB BABC CABC = AB + AC + BC + BC = AB + BC + BC 1 S B BS A ++3 2 S B A ABS +1 S B BS A ++

3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。 解: F1== 真值表如下: 当B ≠C 时, F1=A 当B=C=1时, F1=A 当B=C=0时, F1=0 F2= 真值表如下: C B BC A C AB C B A +++ABC C B A C B A ++A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 001101 00AC BC AB C A C B B A ++=++

当A 、B 、C 三个变量中有两个及两个以上同时为“1”时,F2 = 1 。 4.图所示为数据总线上的一种判零电路,写出F 的逻辑表达式,说明该电路的逻辑功能。 解:F= 只有当变量A0~A15全为0时,F = 1;否则,F = 0。 因此,电路的功能是判断变量是否全部为逻辑“0”。 5. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能 解: 真值表如下: 因此,这是一个四选一的选择器。 6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 000011 111514131211109876543210A A A A A A A A A A A A A A A A +++301201101001X A A X A A X A A X A A F +++=

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

数字逻辑 白中英 第六版 答案

第六章习题答案 1现有D触发器组成的三个n位寄存器,需要连接起来传送数据。当控制信号S a有效时,执行(Ra)→Rc的操作;当控制信号S b有效时,执行(R b)→R C的操作。试写出连接电路的逻辑表达式,并画出逻辑电路图。解: Rc = Ra·Sa·LDC + Rb·Sb·LDC 2 现有D触发器组成的四个8位寄存器,要求它们之间实现数据传送,试设计连接电路。 解: BUS 3 ALU的输出端一般带有一个移位器,其功能为:①ALU输出正常传送;②ALU输出左移1位(ALU i+1)传送;③ALU输出右移一位(ALU i-1)传送。试设计移位器的逻辑电路。 解:

4 一个系统有A,B两条总线,为了接收来自任何一条总线上的数据并驱动任何一条总线,需要一个总线缓冲寄存器。请用D触发器和三态门设计一个总线缓冲寄存器。 解: 5 试构造能完成下列程序操作的ASM图: (a)if X = N, then … 。 (b)if X≠N, then …, else …。 解:

(c)for X from A to B, step C, do… 。解:

(d)while X = Y, do …。 解: (e)if X > N OR X < O, then …, else …。解:

6 有一个数字比较系统,它能对两个8位二进制进行比较。其操作过程如下:先将两个8位二进制数存入寄存器A和B, 然后进行比较,最后将大数移入寄存器A中。要求: ⑴画出此系统方框图,并构造ASM流程图。 ⑵设计一个计数器型控制器。 解:(1)

②状态转移真值表

数字逻辑题目及答案

1.表示任意两位无符号十进制数至少需要()二进制数。 A.6 B.7 C.8 D.9 2.余3码对应的2421码为()。 A. B. C. D. 3.下列四个数中与十进制数(72)10相等的是( ) A.()2 B.()2 C.()2 D.()2 4.某集成电路芯片,查手册知其最大输出低电平UOLmax=0.5V,最大输入低电平UILmax=0.8V,最小输出高电平UOHmin=2.7V,最小输入高电平UIHmin=2.0V,则其高电平噪声容限UNH=( ) A.0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由()构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6、对于TTL或非门多余输入端的处理,不可以()。 A、接电源 B、通过0.5kΩ电阻接地 C、接地 D、与有用输入端并联 7.下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A. 与门 B. 或门 C. 非门 D. 与非门 8.以下电路中可以实现线与功能的有()。 A.与非门 B.三态输出门 C.传输门 D.漏极开路门 9.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为()。

A.JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶校验器,需要()个异或门。A.2 B. 3 C. 4 D. 5 11.相邻两组编码只有一位不同的编码是( ) A.2421BCD码 B.8421BCD码 C.余3码 D.循环码 12.下列电路中,不属于时序逻辑电路的是( ) A.计数器 B.全加器 C.寄存器 D.RAM 13.一个4位移位寄存器,现态为0111,经右移1位后其次态为( ) A.0011或1011 B.1101或1110 C.1011或1110 D.0011或1111 14.为了将正弦信号转换成与之频率相同的脉冲信号,可采用( ) A.多谐振荡器 B.移位寄存器 C.单稳态触发器 D.施密特触发器 15.一个6位地址码、8位输出的ROM,其存储矩阵的容量为( )bit. A.64×8 B.48 C.256 D.8 16.某8位DAC,当输入全为1时,输出电压为5.10V,当输入D=()2时,输出电压为( ) A.5.10V B.2.56V C.1.28V D.都不是 17.PROM是一种__________可编程逻辑器件。( ) A.与阵列可编程、或阵列固定的

数字逻辑课程作业答案

数字逻辑课程作业_A 交卷时间:2016-05-04 16:55:11 一、单选题 1. (4分)如图x1-275 ? A. (A) ? B. (B) ? C. (C) ? D. (D) 纠错 得分:0 知识点:第一章 收起解析 答案D 解析第一章补码 2. (4分)以下电路中常用于总线应用的有() ? A. TSL门 B.OC门 C. 漏极开路门 D.CMOS与非门纠错 得分:0 知识点:第三章 收起解析 答案A 解析第三章其他类型的TTL与非门电路

3. (4分)如果异步二进制计数器的触发器为10个,则计数状态有()种 ? A. A:20 ? B. B:200 ? C. C:1000 ? D. D:1024 纠错 得分:0 知识点:第九章 收起解析 答案D 解析第九章计数器 4. (4分)用n个触发器构成的计数器,可得到的最大计数模是() ? A. (A) n ? B. (B) 2n ? C. (C) 2n ? D. (D)2n-1 纠错 得分:4 知识点:第六章 收起解析 答案C 解析第六章触发器电路结构和工作原理 5.

? A. (A) ? B. (B) ? C. (C) ? D. (D) 纠错 得分:0 知识点:第四章 收起解析 答案C 解析第四章组合逻辑电路的分析6. (4分)如图x1-229 ? A. (A) ? B. (B) ? C. (C) ? D. (D) 纠错 得分:0 知识点:第五章 收起解析 答案D 解析第五章译码器 7.

? A. (A) ? B. (B) ? C. (C) ? D. (D) 纠错 得分:0 知识点:第十一章 收起解析 答案C 解析第十一章数字系统概述8. (4分)化简如图h-d-1-22 ? A. A ? B. B ? C. C ? D. D 纠错

《数字逻辑与电路》复习题及答案

《数字逻辑与电路》复习题 第一章数字逻辑基础(数制与编码) 一、选择题 1.以下代码中为无权码的为CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是CD 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为ABCD 。 A. (0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的BC D码有C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。(√) 2. 8421码1001比0001大。(×) 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 4.格雷码具有任何相邻码只有一位码元不同的特性。(√) 5.八进制数(17)8比十进制数(17)10小。(√) 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√) 7.十进制数(9)10比十六进制数(9)16小。(×) 8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。(√) 三、填空题

数字逻辑白中英第六版习题解答完整版

数字逻辑白中英第六版 习题解答 标准化管理处编码[BBX968T-XBB8968-NNJ668-MM9N]

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1173 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134

101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 =0110 0001 0010 = 0100 0001 0110 = 0100 0111 0101 4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X为高电平,试列出真值表,并写出X的逻辑表达式。 [解]:先列出真值表,然后写出X的逻辑表达式

5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

相关文档
最新文档