分频电路的设计

分频电路的设计
分频电路的设计

分频电路

一、任务分析

通过利用按键控制输出不同的频率,再通过点阵显示出来设计效果。

实现分频的操作电路:

1、二进制译码器输出端位2N个,并对应于输入代码每种频率。

2、要熟练掌握:case语句和if语句有关知识

二、任务实施

1、使用VHDL并行语句,完成上述的文本编辑

library ieee;--------------------------库

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity pinli is--------------------------实体

port ( speed1,speed2:in std_logic;--------拨码开关

hang:out std_logic_vector(7 downto 0);---点阵行

clk,clr:in std_logic;-------------时钟、清零

led:out std_logic_vector(7 downto 0)-----输出信号);

end;

architecture art of pinli is---------------结构体

signal clk_hz:std_logic;----------------------频率输出端

signal aj:std_logic_vector(1 downto 0);--------拨码按键

signal light:std_logic_vector(7 downto 0);----------输出信号begin

hang<="00000001";-------------------赋值给点阵的行

aj<=speed1&speed2;

---------------分频-------------------

process(clk)

variable cnt1:integer range 0 to 8000000;

variable cnt2:integer range 0 to 5900000;

variable cnt3:integer range 0 to 4000000;

variable cnt4:integer range 0 to 2900000;

begin

if clr='0' then-------------------清零

clk_hz<='0';

cnt1:=0;

cnt2:=0;

cnt3:=0;

cnt4:=0;

elsif clk'event and clk='1' then

case aj is--------------------拨码按键控制四种频率的输出

when "00" =>

if cnt1=8000000 then

cnt1:=0;

clk_hz <=not clk_hz;

else

cnt1:=cnt1+1;

end if;

when "01" =>

if cnt2=2000000 then

cnt2:=0;

clk_hz <=not clk_hz;

else

cnt2:=cnt2+1;

end if;

when "10" =>

if cnt3=4000000 then

cnt3:=0;

clk_hz <=not clk_hz;

else

cnt3:=cnt3+1;

end if;

when "11" =>

if cnt4=1000000 then

cnt4:=0;

clk_hz <=not clk_hz;

else

cnt4:=cnt4+1;

end if;

when others =>null;

end case;

end if;

end process;

process(clr, clk_hz) is

begin

if (clk_hz 'event and clk_hz ='1')then

light<="00000000";

end if;

end process;

led<=light;

end;

三、仿真测试

实验操作步骤:

1、设计完成并保存,单击编译按钮执行编译。

2、编译完成建立矢量波形选择‘Vector Waveform File’选项后单击‘OK’

按钮。

3、添加引脚,双击‘name’下方的空白处,弹出‘Insert Node or Bus’对话

框中的‘Node Finder’添加。

4、分别对各输入信号编辑保存。

单击工具栏中的指令,选你所需要设置按钮。设置完成后单击“OK“按钮,输入信号设置完成。

5、完成上述各步骤,对其仿真(功能仿真、时序仿真);

仿真前,首先在Assigments菜单下的“Setings“对话框中进行设置。

在对话框选中“Functional”(功能仿真)选项或“Tming(时序仿真)”选项,单击“OK”按钮后设计完成。再单击“Processing”菜单中“Generate Functional

Simulation Netlist”命令会自动创建功能仿真网络表。最后单击即可,如果

是时序仿真直接单击即可。

表-2

四、在开发板上进行硬件测试

分配好引脚,对当前的工程进行编译,就锁定引脚的信息,

然后就直接单击工具栏上的按钮就跳出一个对话框,单击对话框中“Hardware Setup”继续单击“Add Hardware“按钮进行设置下载电缆,设置好就关闭对话框。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

六分频加法电路的设计

六分频加法电路的设计 1相关原理分析 1.1计数器 计数器是实现分频电路的基础,计数器包括普通计数器和约翰逊计数器两种,这两种电路均可用于分频电路中。 最普通的计数器莫过于加法(减法)计数器。以3位二进制计数器为例,计数脉冲CP 通过计数器时,每输入一个计数脉冲,计数器的最低位(记为Q0,后面的依次记为Q1、Q2、)翻转一次,Q1、Q2、都以前一级的输出信号作为触发信号。分析这个过程,不难得出输出波形。 图1-1 3位二进制计数器时序图 由上很容易看出Q0 的频率是CP的1/2,即实现了2分频,Q1则实现了4分频,同理Q2实现了8分频。这就是加法计数器实现分频的基本原理。 约翰逊计数器是一种移位寄存器,采用的是把输出的最高位取非,然后反馈送到最低位触发器的输入端。约翰逊计数器在每一个时钟下只有一个输出发生变化。同样以3为二进制为例。假设最初值或复位状态是000,则依次是000、001、011、111、110、100、000这样循环。由各位的输出可以看出,约翰逊计数器最起码能实现2分频。 1.2两种计数器的比较 从以上分析可以看出约翰逊计数器没有充分有效地利用寄存器的所有状态,而且如果

由于噪声引入一个无效状态,如010,则无法恢复到有效循环中去,需要加入错误恢复处理。但其较之加法计数器也有它的好处。同一时刻,加法计数器的输出可能有多位发生变化,因此当使用组合逻辑对输出进行译码时,会导致尖峰脉冲信号。而约翰逊计数器可以避免这个问题。 1.3 计数器的选择 本次训练要求设计的是加法分频电路,选择的是加法计数器。加法计数器实现分频较之约翰逊计数器简单,编程也容易理解一些,对于初学者也较容易上手。在前面已经讲过加法计数器实现2n的分频的方法,现在就不在赘述。 1.4 偶数分频器 如前所述,分频器的基础是计数器,设计分频器的关键在于输出电平翻转的时机。偶数分频最易于实现,要实现占空比为50%的偶数N分频,一般来说有两种方案:一是当计数器计数到N/2-1时,将输出电平进行一次翻转,同时给计数器一个复位信号,如此循环下去;二是当计数器输出为0到N/2-1时,时钟输出为0或1,计数器输出为N/2到N-1时,时钟输出为1或0,当计数器计数到N-1时,复位计数器,如此循环下去。可以根据以上两种方案设计电路和程序。 2 六分频加法电路 2.1 电路的结构设计 前面已经讲到过关于2n分频可以直接通过计数器获得。而对于一些非2的整数次幂的分频,如本次课设的6分频,还需要在基本计数器电路描述中加上复位控制电路。 图2-1 加法分频电路的RTL视图

网上下载的一个三分频电路说明

always@( negedge resetn or posedge clk or negedge clk) begin if (resetn==1'b0) begin counter[2:0]<=3'd0; out_clk<=1'b0; end else begin if (counter[2:0]==3'd5) begin counter[2:0]<=3'd0; end else beign counter[2:0]<= counter[2:0]+1; end

//////////////////////////////////////////////////// if (counter[2:0]==3'd5 || counter[2:0]==3'd2) begin out_clk<=~out_clk; end end end 其仿真结果是正确的 这个逻辑无法综合。存在半导体工艺问题。 2.下面给出一个逻辑图。

逻辑是用器件画出来的,保证不存在物理上的实现问题。 仿真图如下: 也许有人要问,既然仿真都是对的,那么为什么要说实际中是80%工作呢? 看到波形图上的clk1(黄色)上的那些毛刺了么,毛刺并不可怕,但是这个电路工作的基础却是那些毛刺,准确地说,那些毛刺是必须有的,是工作过程的比不可少的部份。这样的电路是否能正常工作就很让人匪夷所思了。 我们能不能让电路的正常功能不依赖于毛刺呢? 小结一下: 以上的思路都是试图在输入的clk上做改造,试图在恰当的地 方取正沿,恰当的地方取反沿。但是要知道,这一定会导致竞争和冒险。虽然逻辑上是可性的,但是实践中却没有那么简单。这个时候,我们需要调整一下思路了:

2013初中物理电学电路图大全1

9.(09贵阳)请在图中用笔画线代替导线,按要求连接电路。要求:⑴开关同时控制两盏电灯;⑵电灯L1、L2并联;⑶电流表测通过两灯的总电流;⑷所画导线不能交叉。 10.根据电路图,以笔线代替导线,把元件对应地连接起来,组成电路。要求做到导线不交 叉、不破线。 11、在图52中添两根导线,使两灯并联,电流表测干路电流 12、在图53电路中补画两根导线,使电键控制两盏灯,且电键闭合后电流表只测量灯L2的 电流。 图52 图53

13. 在图56中补上两根导线,使两灯并联,电键控制两盏灯,电流表只测L 1的电流强度(导线不要交叉) 14. 在图58中将两根未连接的导线接到滑动变阻器上,使得滑片向右移动时,灯变亮。 15.在如图60所示的电路中,有两根导线未连接,请用笔线代替导线补上,补上后要求: 电键闭合后,当滑动变阻器的滑片向右移动时,电流表的读数变小,灯的亮度不变。 16、如图61所示中的电路连线中缺两根导线,请用笔线代替导线补上,且满足当滑动变阻器的滑片向右移动时电流表的读数变大,电压表的读数变小。 17.请根据图中磁感线的方向标出通电螺线管的N 、S 极及电源的正,负极 18. 请依照如图所示的电路图把图的实物图连接成相应的电路 19.用笔画线,将图4中的器材连成电路。(要求:两灯并联,开关控制两盏灯) 图 56

20.如图11所示是小明同学设计的测电阻只的电路图.请根据这个电路图用笔画线代替导线,将图12中的元件连成电路.要求:滑动变阻器的滑片P 向左移动时,电流表的示数变大.(电压表选用0~3V 量程,电流表选用0~0.6A 量程,导线不能交叉 ) 图11 图12 21.小明要研究小灯泡的亮度与流过小灯泡电流的关系,他已连接的部分电路如下图所示,请你用画线代表导线,将电路连接完整,并在方框内画出对应的电路图. 22.按照图7所示的电路图,将图8中各元件连接起来。(用笔画线表示导线,导线不许交叉) 23.请依照如图9所示的电路图把图10的实物图连接成相应的电路。 图 7 图8

组合逻辑电路的设计题目

1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A和B在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A、B、C,输出为Y。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”) (1) 列真值表;(4分) (2)写出逻辑函数式;(3分) (3)用基本门画出实现上述逻辑功能的逻辑电路图。(5分) 解:(1)列真值表:(3)逻辑电路图: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数式: 2、某同学参加三类课程考试,规定如下:文化课程(A)及格得2分,不及格得0分;专业理论课程(B)及格得3分,不及格得0分;专业技能课程(C)及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 (3)逻辑电路图 A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数表达式3、中等职业学校规定机电专业的学生,至少取得钳工(A)、车工(B)、电工(C)中级技能证书的任意两种,才允许毕业(Y)。试根据上述要求:(1)列出真值表;(2)写出逻辑表达式,并化成最简的与非—与非形式;(3)用与非门画出完成上述功能的逻辑电路。 解:(1(3)逻辑电路: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑表达式: 最简的与非—与非形式: 4、人的血型有A、B、AB和O型四种,假定输血规则是:相同血型者之间可输出,AB血型者可接受其他任意血型,任意血型者可接受O型血。图1是一个输血判断电路框图,其中A1A0表示供血者血型,B1B0表示受血者型,现分别用00、01、10和11表示A、B、AB和O四种血型。Y 为判断结果,Y=1表示可以输血,Y=0表示不允许输血。请写出该判断电路的真值表、最简与—或表达式,并画出用与非门组成的逻辑图。 输血判断电路框图: 解:(1)真值表:(3)逻辑图: 输入输出 A1A0B1B0Y 0000 0001 0010 0011

实验2 分频电路和数字时钟

实验2 分频电路和数字时钟 一、基于Quartus II 软件,用D 触发器设计一个2分频电路,并做波形仿真,在此基础上,设计一个 4分频和8分频电路,做波形仿真。 实验工具:Quartus Ⅱ8.0 实验步骤: (1)工程设计步骤: (2)分频电路设计: 实验内容: (一)二分频电路 (1)原理图设计:如图 1-1 图1-1 二分频电路 (2)综合 综合报告:如图 1-2 图1-2 二分频综合报告 流动状态 软件版本 修复名称 顶层文件 器件系列 所有逻辑资源 所有寄存器 所有引脚 所有虚拟引脚 所有存储器 器件型号 时间模型

(3)功能仿真 二分频电路功能仿真波形图:如图1-3 图1-3 二分频功能仿真图 结论:时钟的一个周期为100ns,频率为1/100,输出的一个周期为200ns,频率为1/200。输出的频率为时钟的1/2。所以二分频电路的仿真结果是正确的。 (4)时序仿真 二分频电路时序仿真波形图:如图1-4 图1-4 二分频时序仿真图 结论:时序仿真时,输出的波形明显出现了延时。时钟的一个周期为100ns,频率为1/100,输出的一个周期为200ns,频率为1/200。输出的频率为时钟的1/2。虽然出现了延时,但二分频电路的仿真结果仍然是正确的。 最大工作频率:450MHZ 如图1-5 图1-5 最大工作频率 延时情况:tco时钟至输出的延时为5.736s 如图1-6 注:tsu(建立时间),th(保持时间),tco(时钟至输出延时),tpd(引脚至引脚延时) 图1-6 延时情况图 (5)封装

二分频电路的封装:如图 1-7 图 1-7 二分频电路封装 (二)四分频电路和八分频电路 (1)原理图设计:如图 2-1 图 2-1 四分频电路和八分频电路 (2)综合 综合报告:如图 2-2 图 2-2 综合报告 (3)功能仿真 四分频电路和八分频电路功能仿真波形图:如图 2-3 图2-3四分频电路和八分频电路功能仿真波形图 八分频输出 四分频输出 二分频输出 时钟输入

简单电路图的设计过程

电路原理图的绘制方法与步骤 一.电路原理图绘制前的准备工作 1.设计电路原理图的草图 例如要画出图1所示的稳压电源的电路图,首先要画出电路图的草图。 2.电路图有关资料的整理、列表 为了方便快捷地画出电路原理图,首先必须将电路图中所有零件的名称、拟采用的编号、零件的类型以及元件封装进行整理,列出表格,如表1所示。 二、Protel 99 SE 的启动 在Windows 桌面上,将鼠标的指示箭头对准图2所示的Protel 99 SE 图标, 双击鼠标左键,启动Protel 99 SE 。 启动Protel 99 SE 后,屏幕会出现图3所示的界面。 图2 Protel 99 SE 图标 图1 稳压电源电路图

几秒钟后,Protel 99 SE 的启动界面消失,留下了Protel 99 SE 的初始操作界面,如图4所示: 三、进入电路原理图设计环境 1.启动电路原理图编辑器 (1)创建工程设计数据库FirstDesign.ddb : 启动Protel 99 SE 后,打开File 菜单,选择New 命令,则弹出的题目为New Design Database 的对话框,在Design Storage Type 栏内,选择设计数据库的格式为MS Access Database ;在Databass Location 框中指定设计数据库存放的位置为:C :\Design Explorer 99se\\Examples ;在Databass File Name 文本框中输入数据库的名称FirstDesign.ddb 。单击OK 按钮,完成设计数据库的创建。 标题栏 菜单栏 工具条 设计管理面板 设计工作区 图4 Protel 99 SE 的操作界面 图6 图2 Protel 99 SE 的启动界面

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

分频电路的设计

分频电路的设计 在数字电路的设计中,我们会经常遇到分频电路,而且分频电路输出信号频率的稳定性、精确度与整个电路的稳定性有着很大的关系。本文就一些常用分频电路作一总结。 一、n2分频 众所周知,2分频是最简单的分频,通常用D触发器用作反相器即可以实现2分频,要 想实现n2分频,最简单的方法就是将2分频电路级联,n级联在一起就构成了n2分频。 我们以n=5为例,用MAX+plus II进行仿真,电路如图1所示,我们得到的波形如图2所示: 图1 图2 由波形我们可以看出,该电路能实现32分频,但由于它采用的是行波时钟,Q4的输出t。n越大,延时就越大。 与CLK之间延时为5 co 改进图1的电路,我们可以采用同步计数来实现32分频,如图2所示,其中5BITcounter 是在MAX+plus II中用生成的5位二进制加法计数器。Q4输出就是32分频的信号,波形如图4所示。

图3 图4 t。保证了系统的同由于图3是采用同步计数器,所以每个输出的延时都一样,都为 co 步运行。 同样的道理,若n增大时,我们只要改变计数器的位数即可。 二、2n分频 在数字电路的设计中,2n分频也是经常遇到的。对于2n分频,我们常采用两级分频的方法,第一级用来n分频,第二级用作2分频,这样做的目的就是保证输出信号有50%的占空比,若对占空比无要求则可任意实现n分频。 以n=25为例,在MAX+plus II中,利用构造一个5bit模为25的加法计数器,电路如图5所示,out即为50分频后的输出,波形如图6所示。 图5

图6 从图6可以看出,out 与输入时钟CLK 之间的延时是2co t =6ns 。 三、M N 2分频 在一些特殊的数字电路中,可能会用到M N 2分频,由于分频是小数,我们不可能对输入 信号精确地分频,只能保证输出信号的平均频率与理想的分频频率相等。我们这里以26/3分频为例来介绍这种分频方法。 分析:26/3分频的实质就是在26个CLK 周期内产生3个周期的输出信号。我们还是采用采用两级分频方法,目的是为了保证占空比为50%,第一级分频倍数为13/3,即13个CLK 周期内产生3个周期的输出信号。这样我们构造一个模13的4bit 加法计数器,利用门电路输出三个周期信号,计数器从0计到3时A 输出1,计到7时B 输出1,计到12时C 输出1,将A 、B 、C 三路信号相或就得到我们想要的波形,电路如图7所示,波形如图8所示。 图7

3分频器的设计

三分频器的设计 时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。 图1:图形设计 VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen3 is port (clkin : in std_logic; --时钟输入 qout1 : buffer std_logic; qout2 : buffer std_logic; qout3 : buffer std_logic; clkout : out std_logic --占空比为1/2的三分频输出 ); end fen3; architecture behave of fen3 is begin qout3<=qout1 nor qout2; process(clkin) begin if clkin'event and clkin='1' then --在上升沿触发 qout1<=qout3; end if;

end process; process(clkin) begin if clkin'event and clkin='0' then --在下降沿触发 qout2<=qout3; end if; end process; process(qout3) variable tem:std_logic; begin if qout3'event and qout3='1' then --二分频tem:=not tem; end if; clkout<=tem; end process; end behave; 图3:仿真结果

简单电路设计设计大全

装饰材料购销合同 简单电路设计设计大全 1.保密室有两道门,只有当两道门都关上时(关上一道门相当于闭合一个开关),值班室内的指示灯才会发光,表明门都关上了.下图中符合要求的电路是 2.小轿车上大都装有一个指示灯,用它来提醒司机或乘客车门是否关好。四个车门中只要有一个车门没关好(相当于一个开关断开),该指示灯就会发光。下图为小明同学设计的模拟电路图,你认为最符合要求的是 3.中考试卷库大门控制电路的两把钥匙分别有两名工作人员保管,单把钥匙无法打开,如图所示电路中符合要求的是 ”表示)击中乙方的导电服时,电路导通,4.击剑比赛中,当甲方运动员的剑(图中用“S 甲 乙方指示灯亮。下面能反映这种原理的电路是 5.家用电吹风由电动机和电热丝等组成,为了保证电吹风的安全使用,要求:电动机不工作时,电热丝不能发热;电热丝发热和不发热时,电动机都能正常工作。如图所示电路中符合要求的是( )

6.一辆卡车驾驶室内的灯泡,由左右两道门上的开关S l、S2和车内司机右上方的开关S3共同控制。S1和S2分别由左右两道门的开、关来控制:门打开后,S1和S2闭合,门关上后,S l和S2断开。S3是一个单刀三掷开关,根据需要可将其置于三个不同位置。在一个电路中,要求在三个开关的共同控制下,分别具有如下三个功能:(1)无论门开还是关,灯都不亮; (2)打开两道门中的任意一道或两道都打开时,灯就亮,两道门都关上时,灯不亮;(3)无论门开还是关,灯都亮。如图所示的四幅图中,符合上述要求的电路是 A.图甲 B.图乙 C.图丙 D.图丁 7.教室里投影仪的光源是强光灯泡,发光时必须用风扇给予降温。为了保证灯泡不被烧坏,要求:带动风扇的电动机启动后,灯泡才能发光;风扇不转,灯泡不能发光。则在如图3所示的四个电路图中符合要求的是 ( ) 8.一般家用电吹风机都有冷热两挡,带扇叶的电动机产生风,电阻R产生热。冷热风能方便转换,下面图3中能正确反应电吹风机特点的电路图是 ( ) 9.飞机黑匣子的电路等效为两部分。一部分为信号发射电路,可用等效电阻R1表示,用开关S1控制,30天后自动断开,R1停止工作。另一部分为信息存储电路,可用等效电阻R2表示,用开关S2控制,

电工电子实验报告

电工电子实验报告 电工电子综合实验(U)实 验报告 —多功能数 字计时器

摘要 设计搭建一个多功能数字计数器,从0分0秒开始计时, 计到9分59秒后自动清零。具有计时、清零、校分、整点报时的基本功能和闹钟、秒表的附加功能。显示电路使用的是动态显示方式,即只使用一片译码器外加较高频率的时钟控制电路来实现数码管的“同时”显示功能 Abstract Desig n a multi-purpose digital register which can display the time . It starts from 0 mi nute 0 sec on ds, counts after 9 minute 59 sec onds automatic clear zero. The clock has the basic functions of clearing, minute-correcting, beeping in the sharp hour and the stopwatch. We use dyn amic display circuit to display, n amely realiz ing display ing in the same time using one en coder and a high freque ncy.

目录设计目的 二. 设计要求 三. 实验原理 四. 单元电路设计及其电路图 1信号发生电路 2、计时电路 3、显示电路 4、清零电路 5、校分电路 6、报时电路 五. 附加电路 六. 实验感想 七. 实验中遇到的问题 八. 附录 1、工具及器件清单 2、各元件的引脚图及功能表 3、总电路逻辑图 4、参考文献

实验六--Verilog设计分频器计数器电路答案

实验六 Verilog设计分频器/计数器电路 一、实验目的 1、进一步掌握最基本时序电路的实现方法; 2、学习分频器/计数器时序电路程序的编写方法; 3、进一步学习同步和异步时序电路程序的编写方法。 二、实验内容 1、用Verilog设计一个10分频的分频器,要求输入为clock(上升沿有效),reset(低电平复位),输出clockout为4个clock周期的低电平,4个clock周期的高电平),文件命名为fenpinqi10.v。 2、用Verilog设计一异步清零的十进制加法计数器,要求输入为时钟端CLK(上升沿)和异步清除端CLR(高电平复位),输出为进位端C和4位计数输出端Q,文件命名为couter10.v。 3、用Verilog设计8位同步二进制加减法计数器,输入为时钟端CLK(上升沿有效)和异步清除端CLR(低电平有效),加减控制端UPDOWN,当UPDOWN为1时执行加法计数,为0时执行减法计数;输出为进位端C和8位计数输出端Q,文件命名为couter8.v。 4、用VERILOG设计一可变模数计数器,设计要求:令输入信号M1和M0控制计数模,当M1M0=00时为模18加法计数器;M1M0=01时为模4加法计数器;当M1M0=10时为模12加法计数器;M1M0=11时为模6加法计数器,输入clk上升沿有效,文件命名为mcout5.v。 5、VerilogHDL设计有时钟时能的两位十进制计数器,有时钟使能的两位十进制计数器的元件符号如图所示,CLK是时钟输入端,上升沿有效;ENA是时钟使能控制输入端,高电平有效,当ENA=1时,时钟CLK才能输入;CLR是复位输入端,高电平有效,异步清零;Q[3..0]是计数器低4位状态输出端,Q[7..0]是高4位状态输出端;COUT是进位输出端。 三、实验步骤 实验一:分频器 1、建立工程

简单分频时序电路的设计(三分频)

单位:嵌入式系统实验室 姓名:汤晓东 内容:简单分频时序电路的设计(三分频) 时间:2010-7-7 3.练习三 模块源代码: //-------------------文件名div3.v---------------------------------- module div3(clk_in,clk_out,reset ); input clk_in,reset; output clk_out; wire clk_out; integer n1,n2; reg clk1,clk2; always @(posedge clk_in or negedge reset) //检测clk_in的上升沿 begin if (!reset) begin n1=0; clk1<=1'b0; //clk1是对clk_in的三分频 end // 但是占空比为1/3 else if (n1==2) begin n1=0; clk1<=1'b1; end else begin n1=n1+1; clk1<=1'b0; end end always @(negedge clk_in or negedge reset) //检测clk_in的下降沿 begin if (!reset) begin n2=0; clk2<=1'b0; //clk2也是对clk_in的三分频 end else if (n2==2) //占空比为1/3,但是与clk1相差begin //半个时钟周期 n2=0; clk2<=1'b1; end else begin n2=n2+1; clk2<=1'b0; end

家庭电路设计(DOC)

家庭照明电路设计 姓名:杨光辉 学号:2011173124 班级:2011级机电一体化 呼伦贝尔学院工程技术学院

一、设计目的 二、家庭照明电路组成部分的功能和安装要求三、设计的总体思路 四、电路布线施工图及及电路原理图 1. 阳台灯的自动控制系统 2.电机控制电路系统 3. 客厅灯电路系统 4.自来水开关控制系统 五、安装用电路元器件以及预算六、施工要求 七、设计总结

家庭照明电路设计 一、设计目的 1、理解家庭电路的基本原理,巩固和加深在电路课程中所学的理论知识和实践技能。 2、学会查阅相关手册和资料,了解照明电灯的相关知识,培养独立分析与解决问题的能力。 3、掌握常用电子电路的一般设计方法,学会使用常用电子元器件,正确开绘制电路图。 4、掌握平面图的正规设计与应用。 5、认真写好总结报告,培养严谨的作风与科学态度,提高我们从实践中提高的能力。 二、家庭照明电路组成部分的功能和安装要求 家庭照明电路组成部分主要包括电能表、闸刀、空气开关、导1、电能表线(包括火线和零线)、熔断器、电灯开关、电灯和插座这几部分。电能表的作用是测量电路消耗了多少电能,计量每单位消耗的电能值,也就是度或者千瓦时,电能表常见的有感应式机械电度表和电子式电能表。 感应式机械电度表其工作原理为:根据电磁感应原理,电表通电时,在电流线圈和电压线圈产生电磁场,在铝盘上形成转动力矩,通过传动齿轮带动计度器

计数,电流电压越大,转矩越大,计数越快,用电越多。铝盘的转动力矩与负载的有功功率成正比。 电子式电度表是利用电子电路/芯片来测量电能;用分压电阻或电压互感器将电压信号变成可用于电子测量的小信号,用分流器或电流互感器将电流信号变成可用于电子测量的小信号,利用专用的电能测量芯片将变换好的电压、电流信号进行模拟或数字乘法,并对电能进行累计,然后输出频率与电能成正比的脉冲信号;脉冲信号驱动步进马达带动机械计度器显示,或送微计算机处理后进行数码显示。在安装电能表时,进户电源线在允许的范围内线径越大越好,有条件建议使用单相电缆。必须安装在户外。进户电源线必须套绝缘管。下列场合不允许安装电能表,在易燃易爆的危险场所;有腐蚀性气体或高温的危险场所;有磁场影响及多灰尘的地方。 2、闸刀 闸刀开关是一种手动配电电器。主要用来隔离电源或手动接通与断开交直流电路,也可用于不频繁的接通与分断额定电流以下的负载,如小型电动机、电炉等。闸刀刀开关是最经济但技术指标偏低的一种刀开关。闸刀开关也称开启式负荷开关。使用闸刀开关时应注意要将它垂直的安装在控制屏或开关扳上,不可随意搁置;进线座应在上方,接线时不能把它与出线座搞反,否则在更换熔丝时将会发生触电事故;更换熔丝必须先拉开闸刀,并换上与原用熔丝规格相同的新熔丝,同时还要防止新熔丝受到机械损伤;若胶盖和瓷底座损坏或胶盖失落,闸刀开关就不可再使用,以防止安全事故。 3、漏电开关 漏电保护主要作用是解决漏电问题(相线流出多少电流,中性线就要回来多少电流,一旦有电流缺失,比如人体触电,电流通过人体流到地上的时候,一般超过30毫安,漏电保护器就会工作,切断电源,从而杜绝了电流对人体伤害),但是一般专用的漏电保护开关是不起过载保护用的(现在大多带过载保护)。当电流超过一定的电流的时候自身会发热,(利用双金属片受热弯曲的道理)导致

电路设计实验报告

电子技术课程设计 题目: 班级: 姓名: 合作者:

数字电子钟计时系统 一、设计要求 用中、小规模集成电路设计一台能显示时、分、秒的数字电子钟,基本要求如下: 1、采用LED显示累计时间“时”、“分”、“秒”。 2、具有校时功能。 二、设计方案 数字电子钟主要由振荡器、分频器、计数器、译码器、显示器等几部分组成,其整体框图为 其中,秒信号发生器为:

由石英晶体发出32768Hz的振荡信号经过分频器,即CD4060——14级串行二进制计数器/分频器和振荡器,输出2Hz 的振荡信号传入D触发器,经过2分频变为秒信号输出。 校时电路为: 当K1开启时,与非门一端为秒信号另一端为高电位,输出即为秒信号秒计数器正常工作,当K1闭合,秒信号输出总为0,实现秒暂停。 当K2/K3开启时,分信号/时信号输入由秒计数器输出信号及高电平决定,所以输出信号即为分信号/时信号,当K2/K3闭合时,秒信号决定分信号/时信号输出,分信号/时信号输出与秒信号频率一致, 以实现分信号/时信号的加速校时。 秒、分计数器——60进制

首先,调节CD4029的使能端,使其为十进制加法计数器。将输入信号脉冲输入第一个 计数器(个位计数器)计十个数之后将,进位输出输给下一个计数器(十位计数器)的进位 输入实现十秒计数。当计数器的Q1,Q2输出均为1时经过与门电路,输出高电平,作为分 脉冲或时脉冲并同时使两计数器置零。 时计数器——24进制 时脉冲 首先,调节CD4029的使能端,使其为十进制加法计数器。将输入信号脉冲输入第一个 计数器(个位计数器)计十个数之后将,进位输出输给下一个计数器(十位计数器)的进位 输入实现十秒计数。当十位计数器Q1和个位计数器Q2输出均为1时经过与门电路,输出 高电平使两计数器置零。 译码显示电路

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

EDA 实验2简单分频时序逻辑电路设计 实验报告

时序电路设计 实验目的: 1.掌握条件语句在简单时序模块设计中的使用。 2.学习在Verilog模块中应用计数器。 实验环境: Windows 7、MAX+PlusⅡ10等。 实验内容: 1.模为60的8421BCD码加法计数器的文本设计及仿真。 2.BCD码—七段数码管显示译码器的文本设计及仿真。 3.用For语句设计和仿真七人投票表决器。 4.1/20分频器的文本设计及仿真。 实验过程: 一、模为60的8421BCD码加法计数器的文本设计及仿真: (1)新建文本:选择菜单File下的New,出现如图5.1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。 图5.1 新建文本 (2)另存为V erilog编辑文件,命名为“count60.v”如图5.2所示。 (3)在编辑窗口中输入程序,如图5.3所示。

图5.2 另存为.V编辑文件图5.4 设置当前仿真的文本设计 图5.3 模为60的8421BCD码加法计数器的设计代码

(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,必须确定一个设计文件作为当前项目。按下列步骤确定项目名:在File菜单中选择Project 中的Name选项,将出现Project Name 对话框:在Files 框内,选择当前的设计文件。选择“OK”。如图5.4所示。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图5.5的编译器窗口。 图5.5 编译器窗口 选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,出现如图5.6所示的选择信号结点对话框。按右上侧的“List”按钮,在左边的列表框选择需要的信号结点,然后按中间的“=>”按钮,单击“OK”,选中的信号将出现在波形编辑器中。 图5.6 仿真节点插入

直流稳压电源电路设计

模拟电子技术课程设计报告 题目名称:直流稳压电源电路设计姓名: 学号: 班级: 指导教师: 成绩:

目录 1课程设计任务和要求 2 2方案设计 2 3单元电路设计与参数计算 4 4总原理图及元器件清单9 5安装与调试 11 6性能测试与分析12 7结论与心得14 8参考文献 14

课程设计题目: 直流稳压电源电路设计 一、课程设计任务和要求: 1)用桥式整流电容滤波集成稳压块电路设计固定的正负直流电源(±12V)。 2)输出可调直流电压,范围:1.5∽15V; 3)输出电流:IOm≥1500mA;(要有电流扩展功能) 4)稳压系数Sr≤0.05;具有过流保护功能。 二、方案设计: 稳压电源由电源变压器、整流电路、滤波电路和稳压电路四部分组成,如下图1所示,其整流与稳压过程的电压输出波形如图2所示。 图1稳压电源的组成框图 图二整流与稳压过程波形图 电网供电电压交流220V(有效值)50Hz,要获得低压直流输出,首先必须采用电源变压器将电网电压降低获得所需要交流电压。降压后的交流电压,通过整流电路变成单向直流电,但其幅度变化大(即脉动大)。脉动大的直流电压须经过滤波电路变成平滑,脉动小的直流电,即将交流成份滤掉,保留其直流成份。滤波后的直流电压,再通过稳压电路稳压,便可得到基本不受外界影响的稳定直流电压输出,供给负载RL。

方案一、单相半波整流电路 半波单相整流电路简单,电路及其电压输出波形分别如图3、图4所示,使用元件少,它只对交流电的一半波形整流,其输出波形只利用了交流电的一半波形则整流效率不高,且输出波形脉动大,其值为:S= =≈1.57,直流成分小,= ≈0.45,变压器利用率低。 图3 单相半波整流电路 图 4 单相半波整流电路电压输出波形图 方案二、单相全波整流电路 使用的整流器件是半波电路的两倍,整流电压脉动较小,是半波的一半,无滤波电路时的输出电压=0.9,变压器的利用率比半波电路的高,整流器件所承受的反向电压要求较高。 方案三、单相桥式整流电路 单相桥式整流电路使用的整流器件较多,但其实现了全波整流电路,它将的负半周也利用起来,所以在变压器副边电压有效值相同的情况下,输出电压的平均值是半波整流电路的两倍,且如果负载也相同的情况下,输出电流的平均值也是半波整流电路的两倍,且其与半波整流电路相比,在相同的变压器副边电压下,对二极管的参数要求一样,还具有输出电压高、变压器利用率高、脉动小等优点。所以综合三种方案的优缺点决定用方案三。

数控分频器实验报告

《数控分频实验》 姓名:谭国榕班级:12电子卓越班学号:201241301132 一、实验目的 1.熟练编程VHDL语言程序。 2.设计一个数控分频器。 二、实验原理 本次实验我是采用书上的5分频电路进行修改,通过观察其5分频的规律进而修改成任意奇数分频,再在任意奇数分频的基础上修改为任意偶数分频,本次实验我分为了三个部分,前两部分就是前面所说的任意奇数分频和任意偶数分频,在这个基础上,再用奇数输入的最低位为1,偶数最低位为0的原理实现合并。 三、实验步骤 1.任意奇数分频 程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV1 IS PORT(CLK:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; K1,K2,K_OR:OUT STD_LOGIC ); END; ARCHITECTURE BHV OF DIV1 IS SIGNAL TEMP3,TEMP4:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL M1,M2:STD_LOGIC; --SIGNAL OUT1,OUT2,OUT3:STD_LOGIC; BEGIN PROCESS(CLK,TEMP3) BEGIN IF RISING_EDGE(CLK) THEN IF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF; IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF; END PROCESS; PROCESS(CLK,TEMP4) BEGIN IF FALLING_EDGE(CLK) THEN IF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF; IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2;

相关文档
最新文档