LC振荡器设计

LC振荡器设计
LC振荡器设计

课程设计

班级:

姓名:

学号:

指导教师:

成绩:

电子与信息工程学院

信息与通信工程系

目录

摘要..................................................... - 2 -Abstract..................................................... - 2 -引言..................................................... - 3 -1 概述....................................................... - 4 -

1.1反馈式正弦波振荡器的基本工作原理 (4)

1.2振荡器必须满足条件 (4)

1.2.1 起振条件................................................................................................................................................................. - 4 -

1.2.2 平衡条件................................................................................................................................................................. - 5 -

1.2.3 稳定条件................................................................................................................................................................. - 5 -

2 硬件设计——西勒电路....................................... - 6 -

2.1西勒电路原理图 (6)

2.2西勒电路说明 (6)

2.3西勒电路静态工作点设置 (7)

2.4西勒电路参数设定 (8)

3 软件仿真................................................... - 9 -

3.1软件简介 (9)

3.2仿真波形 (10)

3.3仿真分析 (11)

4 结论...................................................... - 11 -

4.1设计的功能 (11)

4.2设计不足 (11)

4.3心得体会 (12)

参考文献.................................................... - 12 -致谢........................................ 错误!未定义书签。

振荡器是一种不需要外加激励、电路本身能自动地将直流能量转换为具有某种波形的交流能量的装置。种类很多,使用范围也不相同,但是它们的基本原理都是相同的,即满足起振、平衡和稳定条件。通过对电感三点式振荡器(哈脱莱振荡器)、电容三点式振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析,根据课设要求频率稳定度10-4,西勒电路具有频率稳定性高,振幅稳定,频率调节方便,适合做波段振荡器等优点,因此选择西勒电路进行设计。继而通过Multisim设计电路与仿真。

关键词:振荡器;西勒电路;Multisim

Abstract

The oscillator is a kind of don't need to motivate, circuit itself automatically device for DC energy into a waveform AC energy applied. Many different types of oscillators, using range is not the same, but the basic principles are the same, to meet the vibration, the equilibrium and stability conditions. Based on the inductance of the three point type oscillator ( Hartley), three point capacitance oscillator ( Colpitts) and improved capacitor feedback oscillator (Clapp and Seiler) analysis, according to class requirements, Seiler circuit with high frequency stability, amplitude stability frequency regulation, convenient, suitable for the band oscillator etc., so the final choice of Seiler circuit design. Then through the Multisim circuit design and simulation.

Key Words:Oscillator; Seiler; Multisim

在信息飞速发展的时代,对信息的获取、传输与处理的方法越来越受到人们的重视。如何高效快捷且没有失真传递信息成为关注的热点。通过对高频电子线路课程的学习,了解到高频信号发生器主要用来向各种电子设备和电路提供高频能量或者高频标准信号,以便测试各种电子设备和电路的电气特性。一般采用 LC调谐式振荡器,频率可由调谐电容器的度盘刻度读出。高频信号发生器主要是产生高频正弦振荡波,故电路主要是由高频振荡电路构成。振荡器的功能是产生标准的信号源,广泛应用于各类电子设备中。为此,振荡器是电子技术领域中最基本的电子线路。

振荡器主要分为晶体振荡器和LC振荡器,本次课设采用LC振荡器。LC振荡器中的基本电路就是通常所说的三点式振荡器,即LC回路的三个端点与晶体管的三个电极分别连接而成的电路。其中三点式又分为两种基本电路。根据反馈网络由电容还是电感完成的分为电容反馈振荡器和电感反馈振荡器。同时为了提高振荡器的稳定度,通过对电容三点式振荡器的改进可以得到克拉泼振荡器和西勒振荡器两种改进型的电容反馈振荡器。其中互感反馈易于起振,但稳定性差,适用于低频,而电容反馈三点式振荡器稳定性好,输出波形理想,振荡频率可以做得较高。

通过对各电路的比较,以及根据课设要求频率稳定度10-4等综合考虑,最终选择西勒振荡器,并完成相关的技术指标。

1 概述

1.1 反馈式正弦波振荡器的基本工作原理

振荡器是不需外信号激励、自身将直流电能转换为交流电能的装置。反馈式振荡器有两部分组成:放大器和反馈网络。框图如下所示。

放大器的增益:

A= V

0/ V

i

(1.1-1)

反馈系数:

F= Vf/ V0 (1.1-2)

1-1反馈式振荡器组成框图

1.2 振荡器必须满足条件

1.2.1 起振条件

振荡电路在刚接通电源时候,晶体管中电流从零跃变到某一数值,同时,电路中还有噪声,它们具有很宽的频谱。由于放大器负载回路的选频作用,其中只有某个频率分量才能通过反馈网络加到放大器的输入端,这就是振荡器最初激励信号。为了使振荡器在接通直流电源后能够自动起振,则要求反馈电压在相位上与放大器输入电压同相,在幅度上则要求Vf〉V

i

,起振的充分必要条件。可以写成:

FA>1 (1.2-1)

ΨA+ΨF=2nπ n=0,1,2,3,… (1.2-2)

(1.2-1)是振幅起振条件,(1.2-2)是相位起振条件。两者必须同时满足才能起振。

1.2.2 平衡条件

振荡器幅度不能无限增长下去,当达到某数值时候,振荡器将保持幅度不变,这时候有Vf= V i ,所以平衡条件为AF=1.或者可以写成:

AF=1 (1.2-3)

ΨA+ΨF=2n π n=0,1,2,3,… (1.2-4)

(1.2-3)和(1.2-4)分别称为振幅平衡条件和相位平衡条件。

1.2.3 稳定条件

当振荡器受到外部因素的扰动(如电源电压波动、 温度变化、噪声干扰等),将引起放大器和回路的参数发生变化破坏原来的平衡状态。如果通过放大和反馈的不断循环,振荡器越来越偏离原来的平衡状态,从而导致振荡器停振或突变到新的平衡状态,则表明原来的平衡状态是不稳定的。反之,如果通过放大和反馈的不断循环,振荡器能够产生回到原平衡点的趋势,并且在原平衡点附近建立新的平衡状态, 则表明原平衡状态是稳定的。

振荡器的稳定条件相应地可分为振幅稳定条件和相位稳定条件。 1) 振幅稳定条件

要使振幅稳定,振荡器在其平衡点必须具有阻止振幅变化的能力。具体来说,就是在平衡点附近,当不稳定因素使振幅增大时,环路增益将减小,从而使振幅减小。

2)相位稳定条件

同理,要使相位稳定,振荡器在其平衡点必须具有阻止相位变化的能力。即有振荡器的相位稳定条件。

0

?

(1.2-5)

2 硬件设计——西勒电路

2.1 西勒电路原理图

西勒电路是在克拉泼电路的L 两端并联上一个电容得到的,有效的改善了克拉泼电路可调范围小的缺点,电路图如图所示:

2.2 西勒电路说明

电路的特点:是在克拉泼电路的基础上,用一电容C4,并联于电感L 两端。作用是保持了晶体管与振荡回路弱耦合,振荡频率的稳定度高,调整范围大。除此之外,西勒电路还具有振荡幅度比较稳定;振荡频率可以较高;频率覆盖率较大,可达1.6—1.8,因而在一些短波超、短波通信机,电视接收机中用的较多。 该电路振幅起振条件:

1AF > (2.2-1)

该电路相位起振条件:

π??n F 2A =+ (2.2-2)

振幅平衡条件:

1AF = (2.2-3)

相位平衡条件:

π??n F 2A =+ (2.2-4)

2.3 西勒电路静态工作点设置

合理地选择振荡器的静态工作点,对振荡器的起振,工作的稳定性,波形质量的好坏有着密切的关系。-般小功率振荡器的静态工作点应选在远离饱和区而靠近截止区的地方。根据上述原则,一般小功率振荡器集电极电流I CQ 大约在0.8-4mA 之间选取,故本实验电路中:

选I CQ =2mA , V CEQ =7.5V ,β=100,则有:

Ω=-=

-=

+K I U U R R CQ

CEQ

CC c e 75.32

5

.715 为提高电路的稳定性R e 值适当增大,取Re=1K Ω则Rc =2.75K Ω 因为:

U EQ =I CQ ·R E

则:

U EQ =2mA ×1K=2V

因为:

I BQ =I CQ /β

则:

I BQ =2mA/100=0.02mA

一般取流过Rb2的电流为5-10I BQ , 若取10I BQ 因为:

BQ

BQ b I V R =

2 7.0+=EQ BQ V V Ω==

K V

R b 5.132

.07.22 因为:

21b BQ

BQ

CC b R V V V R -=

则:

Ω=Ω-=

K K V

V

V R b 67.54127.272151。

2.4 西勒电路参数设定

回路中的各种电抗元件都可归结为总电容C 和总电感L 两部分。确定这些元件参量的方法,是根据经验先选定一种,而后按振荡器工作频率再计算出另一种电抗元件量。从原理来讲,先选定哪种元件都一样,但从提高回路标准性的观点出发,以保证回路电容Cp 远大于总的不稳定电容Cd 原则,先选定Cp 为宜。若从频率稳定性角度出发,回路电容应取大一些,这有利于减小并联在回路上的晶体管的极间电容等变化的影响。但C 不能过大,

C 过大,L 就小,Q 值就会降低,使振荡幅度减小,为了解决频稳与幅度的矛盾,通常采用部分接入。反馈系数F=C1/C2,不能过大或过小,适宜1/8—1/2。 等效电容:

4

33

2141111

C C C C C C C +≈+++

=∑

所以振荡频率:

()

4302121C C L LC f +≈

ππ

根据课设要求,工作频率5MHz,所以:

()

z 0.510

1021

21

12

-436

-430MH C C L C C L f =?+??=

+≈

)(ππ

先设定L 的值:L=10uH ,可以求出:

F

C C p 42.101)43≈+(

所以取C3=50pF,C4=51.12pF 。反馈系数F=C1/C2,不能过大或过小,适宜1/8—1/2。且遵循C1、C2>>C3、C4的条件,因此C1=200pF,C2=510pF 。F=200/510 =0.39。

为了尽可能地减小负载对振荡电路的影响,振荡信号应尽可能从电路的低阻抗端输出。

3 软件仿真

3.1 软件简介

Multisim是一个专门用于电子线路设计与仿真的EDA工具软件,它是加拿大IIT公司(Interactive Image Technologise Ltd.)推出的继EWB之后的版本。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim 软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。

Multisim软件特点:

1)直观的图形界面:整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的一样。

2)丰富的元器件库:Multisim大大扩充了EWB的元器件库,包括基本元件、半导体器件、运算放大器、TTL和CMOS数字IC、DAC、ADC及其他各种部件,且用户可通过元件编辑器自行创建或修改所需元件模型,还可通过liT公司网站或其代理商获得元件模型的扩充和更新服务。

3)丰富的测试仪器:除EWB具备的数字万用表、函数信号发生器、双通道示波器、扫频仪、字信号发生器、逻辑分析仪和逻辑转换仪外,Multisim 新增了瓦特表、失真分析仪、频谱分析仪和网络分析仪。尤其与EWB不同的是:所有仪器均可多台同时调用。

4)完备的分析手段:除了EWB提供的直流工作点分析、交流分析、瞬态分析、傅里叶分析、噪声分析、失真分析、参数扫描分析、温度扫描分析、极点一零点分析、传输函数分析、灵敏度分析、最坏情况分析和蒙特卡罗分析外,Multisim 新增了直流扫描分析、批处理分析、用户定义分析、噪声图形分析和射频分析等,基本上能满足一般电子电路的分析设计要求。

5)强大的仿真能力:Multisim 既可对模拟电路或数字电路分别进行仿真,也可进行数模混合仿真,尤其是电路的仿真功能。仿真失败时会显示出错信息、提示可能出错的原

因,仿真结果可随时储存和打印。

3.2 仿真波形

下图为西勒电路振荡器的电路图,是Multisim软件画出的,可以对其进行仿真:

仿真波形如图所示:

仿真的频率:5.47

3.3 仿真分析

采用西勒振荡电路,因为西勒振荡器的接入系数与克拉泼振荡器的相同,由于改变频率主要通过C4完成的,C4的改变并不影响接入系数p,所以波段内输出较平稳。而且C4改变,频率变化较明显,故西勒振荡器的频率覆盖系数较大,可达1.6~1.8。

4 结论

4.1 设计的功能

西勒振荡器:频率稳定性高,振幅稳定,频率覆盖系数较大,可达1.6~1.8,调频方便。

4.2 设计不足

仿真出来的波形有略微失真,不是很稳定。通过查找资料知道需要从以下方面入手:首先要看相位平衡条件是否满足。对振荡电路要看是否满足对应的相位平衡判断标准。此外,还要在振幅平衡条件所包含的各种因素中找原因。除此之外:

1)静态工作点选的太小。

2)电源电压过低,使振荡管放大倍数太小。

3)负载太重,振荡管与回路间耦合过紧,回路Q值太低。

4)回路特性阻抗ρ或介入系数pce太小,使回路谐振阻抗RO太低。

5)反馈系数kf太小,不易满足振幅平衡条件。但kf并非越大越好,应适当选取。

所以仍然需要寻找适当方法进而完善电路。

4.3 心得体会

虽然课程设计只有短暂一周时间,但是我收获颇深。在本次课程设计中,我选择的题目是LC振荡器的设计,结合自己所学的高频电路知识,了解到,电感三点式振荡器调频方便,容易起振且输出波形不理想。电容三点式振荡器振荡波形好但频率稳定性低。克拉泼振荡器振荡频率改变可不影响反馈系数,振荡幅度比较稳定但可调范围小。西勒振荡器振荡幅度比较稳定,振荡频率可以较高,波段覆盖系数较大,波段范围内输出电压幅度比较平稳。综上考虑,我选择了西勒电路震荡器,并且符合课程设计题目要求频率稳定度在10-4.。

在运用仿真软件进行仿真的时候,学会了绘制电路图,以及如何调节示波器,使看到的波形更加清晰。通过这次课程设计,让我更加了解西勒电路,掌握计算过程,增强了自己动手能力。

参考文献

[1]张义芳.《高频电子线路》第四版.哈尔滨工业大学出版社.2009.7

[2]童诗白,华成英.《模拟电子技术基础》第四版.高等教育出版社.

[3] 阎石.《数字电子技术基础》.高等教育出版社.

[4]黄智伟.《基于NI Multisim的电子电路计算机仿真设计与分析》.电子工业出版社.2008.1

压控振荡器

压控振荡器 一.基本原理 信号的频率取决于输入信号电压的大小,因此称为“压控振荡器”。其它影响压控振荡器输出信号的参数还VCO(Voltage ControlledOscillator)(压控振荡器)是指输出信号的频率随着输入信号幅度的变化而发生相应变化的设备,它的工作原理可以通过公式(5-1)来描述。 (5-1) 其中,u(t)表示输入信号,y(t)表示输出信号。由于输入信号的频率取决与输入信号的电压的变化,因此称为“压控振荡器”。其他影响压控振荡器输出信号 的参数还有信号的幅度A c ,振荡频率f c ,输入信号灵敏度k c ,以及初始相位。 压控振荡器的特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图中,uc为零时的角频率ω0,0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄,RC压控振荡器的频率稳定度低而调频范围宽,LC 压控振荡器居二者之间。

在MATLAB中压控振荡器有两种:离散时间压控振荡器和连续时间压控振荡器,这两种压控振荡器的差别在于,前者对输入信号采用离散方式进行积分,而后者则采用连续积分。本书主要讨论连续时间压控振荡器。 为了理解压控振荡器输出信号的频率与输入信号幅度之间的关系,对公式(5-1)进行变换,取输出信号的相角Δ为 对输出信号的相角Δ求微分,得到输出信号的角频率ω和频率f分别为: ω=2πf c+2πk c u(t) (5-3) (5-4) 从式(5-4)中可以清楚地看到,压控振荡器输出信号的频率f与输入信号幅度u(t)成正比。当输入信号u(t)等于0时,输出信号的频率f等于f c;当输入信号u(t)大于0时,输出信号的频率f高于f c;当输入信号u(t)小于0时,输出信号的频率f低于f c。这样,通过改变输入信号的幅度大小就可以准确地控制输出信号的频率。 二.程序及结果分析 定义一个锯齿波信号,频率是20HZ,幅度范围在0V和1V之间。现在用此信号 =20HZ,输入信号作为压控振荡器的输入控制信号,该压控振荡器的振荡频率f c 灵敏度,初始相位。使用MATLAB求得输出的压控振荡信号。MATLAB 程序如下: %MATLAB实现压控振荡器 clear all; clc; t0=0.15;%定义压控信号持续时间 ts=0.0001;%定义信号采样率 fc=50;%定义振荡频率 t=[0:ts:t0];%时间矢量 u0=20*t(1:length(t)/3);%定义压控信号(单周期) u=[u0,u0,u0,0];%定义压控信号(3个周期) Ac=1;%定义振幅 kc=0.1;%定义输入信号灵敏度 fi=0;%定义初始相位 %对压控信号进行积分 u_int(1)=0;%定义压控信号积分初值 for i=1:length(u)-1%进行离散积分 u_int(i+1)=u(i)+u_int(i);

压控振荡器的设计与仿真.

目录 1 引言 (2) 2 振荡器的原理 (5) 2.1 振荡器的功能、分类与参数 (5) 2.2 起振条件 (9) 2.3 压控振荡器的数学模型 (10) 3 利用ADS仿真与分析 (11) 3.1 偏置电路的的设计 (12) 3.2 可变电容VC特性曲线测试 (13) 3.3 压控振荡器的设计 (15) 3.4 压控振荡器相位噪声分析 (18) 3.5 VCO振荡频率线性度分析 (23) 4 结论 (24) 致谢 (25) 参考文献 (25)

压控振荡器的设计与仿真 Advanced Design System客户端软件设计 电子信息工程(非师范类)专业 指导教师 摘要:ADS可以进行时域电路仿真,频域电路仿真以及数字信号处理仿真设计,并可对设计结果进行成品率分析与优化,大大提高了复杂电路的设计效率。本论文运用ADS仿真软件对压控振荡器进行仿真设计,设计出满足设计目标的系统,具有良好的输出功率,相位噪声性能及震荡频谱线性度。本论文从器件选型开始,通过ADS软件仿真完成了有源器件选型,带通滤波器选型,振荡器拓扑结构确定,可变电容VC特性曲线,瞬态仿真及谐波平衡仿真。实现了准确可行的射频压控振荡器的计算机辅助设计。关键字:压控振荡器,谐波平衡仿真,ADS 1 引言 振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。随着电子技术的迅速发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。尤其在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要地位。 人们对振荡器的研究未曾停止过。从早期的真空管时代当后期的晶体管时代,无论是理论上还是电路结构和性能上,无论是体积上还是制作成本上无疑都取得了飞跃性的

lc压控振荡器实验报告doc

lc压控振荡器实验报告 篇一:实验2 振荡器实验 实验二振荡器 (A)三点式正弦波振荡器 一、实验目的 1. 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计算。 2. 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影响。 3. 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1. 熟悉振荡器模块各元件及其作用。 2. 进行LC振荡器波段工作研究。 3. 研究LC振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4. 测试LC振荡器的频率稳定度。 三、基本原理 图6-1 正弦波振荡器(4.5MHz) 【电路连接】将开关S2的1拨上2拨下, S1全部断开,由晶体管Q3和C13、C20、C10、CCI、L2构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI可用来改变振 荡频率。振荡频率可调范围为:

?3.9799?M??f0??? ? ?4.7079?M? CCI?25p CCI? 5p 调节电容CCI,使振荡器的频率约为4.5MHz 。振荡电路反馈系数: F= C1356 ??0.12 C20470 振荡器输出通过耦合电容C3(10P)加到由Q2组成的射极跟随器的输入端,因C3容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号Q1调谐放大,再经变压器耦合从J1输出。 四、实验步骤 根据图6-1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 1. 调整静态工作点,观察振荡情况。 1)将开关S2全拨下,S1全拨下,使振荡电路停振 调节上偏置电位器RA1,用数字万用表测量R10两端的静态直流电压UEQ(即测量振荡管的发射极对地电压UEQ),使其为5.0V(或稍小,以振荡信号不失真为准),这时表明振荡管的静态工作点电流IEQ=5.0mA(即调节W1使

LC压控振荡器课程设计(含程序)

LC压控振荡器课程设计(含程序)武汉理工大学《学科基础课群课设》 摘要 本设计是一个功能完善,性能优良的高频VCO(Voltage Control Oscillation)。主 振器由分立元件组成。电压对频率的控制是通过变容二极管来实现的。即通过改变变容 二极管的反向压降,从而改变变容二极管的结电容,继而改变振荡频率。系统的输出频 ,3率范围为10MHz—40MHz。频率稳定度在以上。设计以单片机为控制核心,实现频10 率和电压值的实时测量及显示并控制频率步进,步进有粗调和细调的功能。粗调可实现 较大步进值调节,是调可实现较小步进值调节。该功能使得频率的准确定位十分方便。 本电路在调频部分为提高输出频率精度,采用单片机控制主振器参数,根据产生不同的 频率范围控制不同的主振器参数而达到提高精度和稳定度的目的。为了高频信号的良好 传输,本设计的部分电路板采用了人工刻板使得本设计更加特色鲜明,性能优良。 关键字:VCO 单片机变容二极管 ADC0804 Abstract

This design is a high frequency VCO with comprehensive and perfect function. The main vibrator is made up of several separable components. Voltage control on the frequency is realized by way of varicap diode. That, changing the reverse voltage of diode can adjust the frequency. The frequency of the apparatus can output from 10MHz to 40MHz, and its I 武汉理工大学《学科基础课群课设》 ,3frequency stability can reach .This design uses a single-chip as control core to measure 10 and display the frequency and voltage and regulate frequency. The frequency adjustment includes two procedures -approximate adjusting and slight adjusting, The slight adjusting can realize the precise frequency output. In order to change the precision of frequency to output, the circuit control the main vibrator with a single-chip. In order go gain what we to. we can change the different parameters of the main vibrator. In addition, Some part of the design wield arterial pattern plate. It nape the circuit mare perfect. Key words: VCO MCU DIODE ADC0804 目录 1. 系统设计 (1) 1.1 设计要求 (1)

压控振荡器

压控振荡器 指输出频率与输?入控制电压有对应关系的振荡电路(VCO),频率是输?入信号电压的函数的振荡器VCO,振荡器的?工作状态或振荡回路的元件参数受输?入控制电压的控制,就可构成?一个压控振荡器。 voltage-controlled oscillator LC压控振荡器、RC压控振荡器 1. 简介 压控振荡器的控制特性 其特性?用输出?角频率ω0与输?入控制电压uc之间的关系曲线(图1)来表?示。图中,uc为零时的?角频率ω0,0称为?自由振荡?角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。在通信或测量仪器中,输?入控制电压是欲传输或欲测量的信号(调制信号)。?人们通常把压控振荡器称为调频器,?用以产?生调频信号。在?自动频率控制环路和锁相环环路中,输?入控制电压是误差信号电压,压控振荡器是环路中的?一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好、控制灵敏度?高、调频范围宽、频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度?高,但调频范围窄;RC压控振荡器的频率稳定度低?而调频范围宽,LC压控振荡器居?二者之间。 LC压控型 在任何?一种LC振荡器中,将压控可变电抗元件插?入振荡回路就可形成LC压控振荡器。早期的压控可变电抗元件是电抗管,后来?大都使?用变容?二极管。图 2是克拉泼型LC压控振荡器的原理电路。图中,T为晶体管,L为回路电感,C1、C2、Cv为回路电容,Cv为变容?二极管反向偏置时呈现出的容量;C1、C2通常?比Cv ?大得多。当输?入控制电压uc改变时,Cv随之变化,因?而改变振荡频率。这种压控振荡器的输出频率与输?入控制电压之间的关系为 VCO输出频率与控制电压关系 式中C0是零反向偏压时变容?二极管的电容量;φ是变容?二极管的结电压;γ是结电容变化指数。为了得到线性控制特性,可以采取各种补偿措施。 RC压控振荡器 在单?片集成电路中常?用RC压控多谐振荡器(?见调频器)。

低相位噪声宽带LC压控振荡器设计

低相位噪声宽带LC压控振荡器设计 摘要:基于0.13 μm CMOS工艺,设计了一款低相位噪声宽带LC压控振荡器。采用开关电容阵列使VCO在达到宽调谐范围的同时保持了低相位噪声。采用可变容阵列提高了VCO 频率调谐曲线的线性度。仿真结果表明,在1.2 V电源电压下,电路功耗为3.6 mW。频率调谐范围4.58 GHz-5.35 GHz,中心频率5 GHz,在偏离中心频率1 MHz处相位噪声为-125dBc/Hz。 关键词:压控振荡器;开关电容阵列;可变电容阵列 0 引言 压控振荡器(Voltage-Controlled Oscillator,VCO)是锁相环中的重要组成部分,能够提供频率准确、低相位噪声的本振信号,它对锁相环的性能甚至是整个射频前端的性能都有着较大的影响。随着无线通信网络的快速发展,越来越多的射频电路需要收发机能够覆盖很宽的频率范围,同时保持较低的相位噪声性能,这无疑对压控振荡器的设计提出了更高的要求。 无线传感网广泛应用于交通、节能、环境保护、家庭网络、生物医疗等领域。本文针对2.4 GHz频段无线传感网射频收发机应用,采用0.13 μm CMOS工艺,设计了一个低相位噪声宽带LC压控振荡器。采用开关电容阵列把需要覆盖的频率调谐范围分成若干个子频段,从而降低了VCO调谐增益(Kvco),减小了相位噪声。同时采用可变电容阵列来提高频率调谐曲线的线性度,减小Kvco的变化,提高锁相环的稳定性。本文设计的压控振荡器需覆盖 4.8 GHz~5.0 GHz 频段, 输出的差分信号将通过二分频电路得到四路差分正交信号供收发机使用。考虑到工艺偏差、寄生等影响,设计的目标频率范围为4.6 GHz~5.3 GHz。 1 电路设计 1.1 电路结构 在目前广泛应用的数模混合式锁相环中,主流压控振荡器的实现主要有两种结构:环形压控振荡器和LC压控振荡器。环形振荡器能获得大的调谐范围,易于集成,但是其相位噪声性能不理想,在无线通信应用中比较少。LC压控振荡器由于良好的噪声性能已成功地应用在窄带无线通信收发机中。近些年来一些宽带的CMOS LC压控振荡器相继报道出来[1-3],通过开关电容阵列和可变电容相组合,可以得到宽的调谐范围并保持良好的相位噪声性能。 本设计中,采用开关电容阵列和可变电容阵列相组合的电路结构,并同时采用NMOS管做负阻管。电路结构。L是片上集成电感,NMOS管M1、M2构成交叉耦合结构,提供振荡所需的负阻。M3、M4构成电流镜结构,为VCO提供偏置电流。 1.2 振荡原理 在LC 压控振荡器中,通常采用负电阻的概念来分析振荡原理。一个理想电感L和电容C 组成的并联回路会在频率ωres=1处谐振。实际上电感电容都存在寄生电阻,电阻会消耗回路的能量,使振荡减弱最后停止。如果把一个与寄生电阻相等的负阻并联在谐振电路,则振荡回路会不停地振荡下去。,RL表示由电感和电容引起的电阻损耗,RP表示由有源器件NMOS 交叉耦合对提供的负阻。图3是NMOS管交叉耦合对管及其小信号等效电路。忽略NMOS管的体效应和沟道长度调制效应,可以得到[4-5]: 如果两个NMOS管相同,即:gm1=gm2=gm,则:

压控振荡器

摘要 压控振荡器作为无线收发机的重要模块,它不仅为收发机提供稳定的本振信号,还可以倍频产生整个电路所需的时钟信号。它的相位噪声、调节范围、调节灵敏度对无线收发机的性能有很大影响。 文章首先介绍了振荡器的两种基本理论:负反馈理论和负阻振荡理论。分别从起振、平衡、稳定三个方面讨论了振荡器工作所要满足的条件,并对这些条件以公式的形式加以描述。 接着介绍了两种类型的压控振荡器:环形振荡器和LC振荡器。对这两种振荡器的结构、噪声性能和电源的敏感性方面做出了分析和比较,通过分析可以看出LC压控振荡器更加适合于应用在射频领域。紧接着介绍了CMOS工艺可变电容和电感的物理模型,以及从时变和非时变两个方面对相位噪声进行了分析。 最后本文采用csm25Rf工艺并使用Cadence SpectreRF仿真器进行仿真分析,设计了一个COMS LC压控振荡器,频率变化范围为2.34GHz-2.49GHz,振荡的中心频2.4GHz,输出振幅为 480mV,相噪声为100kHz 频率偏移下-91.44dBc/Hz ,1MHz频率偏移下-116.7dBc/Hz, 2.5V电源电压下功耗为18mW。 关键词:LC压控振荡器;片上螺旋电感;可变电容;相位噪声,调谐范围。

ABSTRACT V oltage-control-oscillator is the crucial components of wireless transceiver , it provides local signal and clock for the whole circuit, its performance parameter, such as: phase noise, tuning range, power consumption, have great effect on wireless transceivers. Firstly, two oscillator theorems: negative-feedback theorem and negative-resistance theorem , are presented and the conditions of startup, equilibrium, stabilization required for oscillator are discussed respectively. Secondly , we introduce two types of VCO : ring VCO and LC VCO ,and made a comparison between them , it is obvious that LC VCO are suit for RF application. The physical model for MOS varactor and planar spiral inductor are present. At last, a COMS LC VCO with csm25rf technology is presented , the VCO operates at 2.34GHz to 2.49 GHz, and its oscillation frequency is 2.4GHz. The amplitude is 480 mV. The phase noise at 100 kHz offset is –91.48dBc/Hz, and -116.7dBc/Hz at 1MHz. The power consumption of the core is 18mW with 2.5V power supply. Key Words:LC VCO;on-chip spiral inductor;MOS-varactor;phase noise;turning range.

压控振荡器原理和应用说明

压控振荡器 压控振荡器的控制特性 英文:voltage-controlled oscillator 解释:频率是输入信号电压的函数的振荡器VCO。 指输出频率与输入控制电压有对应关系的振荡电路(VCO)。其特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图中,uc为零时的角频率ω0,0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄,RC压控振荡器的频率稳定度低而调频范围宽,LC压控振荡器居二者之间。 LC压控振荡器在任何一种LC振荡器中,将压控可变电抗元件插入振荡回路就可形成LC 压控振荡器。早期的压控可变电抗元件是电抗管,后来大都使用变容二极管。图2是克拉泼型L C压控振荡器的原理电路。图中,T为晶体管,L为回路电感,C1、C2、Cv为回路电容,Cv为变容二极管反向偏置时呈现出的容量;C1、C2通常比Cv大得多。当输入控制电压uc改变时,C v随之变化,因而改变振荡频率。这种压控振荡器的输出频率与输入控制电压之间的关系为 VCO输出频率与控制电压关系

压控振荡器

宝鸡文理学院高频电子课程设计 学校: 宝鸡文理学院 系别:电子电气工程系 专业: 电子信息工程 姓名:白阳 年级:2008级 学号:200895024026 班级:电子信息工程(1)班

课程题目:压控振荡器的研究 设计要求:(1)分析压控振荡器的定义、工作原理以及特点。 (2)由于压控振荡器一般分为两种:LC压控振荡器和晶体压控振荡器,分析两种不同振荡器的工作原理及电路分析。 (3)结合实际谈谈两种压控振荡器的主要应用范围及作用。 格式要求:(1)图片和表格应标记序号,且有相应的注释。 (2)一级标题为小二字体,二级标题为三号字体,都为黑体,正文为小四号宋体,且都为宋体,设置1.5倍行距。 一,压控振荡器。 压控振荡器简介: 压控振荡器,简称VCO(voltage-controlled oscillato r),指输出频率与输入控制电压有对应关系的振荡电路,其特性用输出角频率ω0与输入控制电压uc之间的关系曲线来表示,如下图所示,曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。

图(1)压控振荡器的控制特性 压控振荡器的控制电压可以有不同的输入方式,如让直流电压作为控制电压,电路可制成频率调节十分方便的信号源,用正弦电压作为控制电压,电路就成为调频振荡器,而用锯齿电压作为控制电压,电路将成为扫频振荡器。压控振荡器由控制部分、方波、三角波发生器组成框图如下: 反相器 1 反相器 2 模 拟 开 关 方波、三角波发生器三角波方波 3-15-1 图(2)控制部分、方波、三角波发生器组成框图 变容二极管压控振荡器的基本工作原理 在振荡器的振荡回路上并接或串接某一受电压控制的电抗元件后,即可对振荡频率实行控制。受控电抗元件常用变容二极管取代。

压控振荡器VCO

VCO即压控振荡器,是射频电路的重要组成部分。 射频电路多采用调制解调方式,因此严重依赖本振。而现代通信技术要求复用、跳频等新技术,采用电压控制振荡回路中电容的电容量,进而改变振荡回路谐振频率就成为实现这些技术的手段之一。 分类 分类 VCO根据他产生的波形分为: 1) 谐波振荡器(harmonic oscillators); 2) 张弛振荡器(relaxationoscillators.) VCO根据他的结构分为: 1)LC压控振荡器; 2) RC压控振荡器; 3)晶体压控振荡器 主要性能 主要性能 VCO的性能指标主要包括: 1)频率受控范围; 2)线性度; 3)压控灵敏度; 4)调制带宽; 5)噪音; 6)工作电压。 频率调谐范围,输出功率,(长期及短期)频率稳定度,相位噪声,频谱纯度,电调速度,推频系数,频率牵引等。 频率调谐范围是VCO的主要指标之一,与谐振器及电路的拓扑结构有关。通常,调谐范围越大,谐振器的Q值越小,谐振器的Q值与振荡器的相位噪声有关,Q值越小,相位噪声性能越差。 振荡器的频率稳定度包括长期稳定度和短期稳定度,它们各自又分别包括幅度稳定度和相位稳定度。长期相位稳定度和短期幅度稳定度在振荡器中通常不考虑;长期幅度稳定度主要受环境温度影响,短期相位稳定度主要指相位噪声。在各种高性能、宽动态范围的频率变换中,相位噪声是一个主要限制因素。在数字通信系统中,载波信号的相位噪声还要影响载波跟踪精度。 其它的指标中,振荡器的频谱纯度表示了输出中对谐波和杂波的抑制能力;推频系数表示了由于电源电压变化而引起的振荡频率的变化;频率牵引则表示了负载的变化对振荡频率的影响;电调速度表示了振荡频率随调谐电压变化快慢的能力。

压控振荡器报告

HefeiUniversity 压控振荡器 项目名称:压控振荡器 作者姓名:高慧敏 1305022015 13通信2班 马彪 1305011026 13电子1班 徐文山 1305011027 13电子1班 指导老师:段惠敏 完成时间:2015年7月6日

压控振荡器 压控振荡器在通信、雷达、测控等方便有广泛的应用,利用锁相环输出的压控振荡信号更为稳定,方便接收端接收稳定的信号。本模块由MC12022和MC145152构成的鉴相器(PD)、LM392和外围电路构成的环路滤波器(LF)、以及MC1648组成的压控振荡器(VCO)构成锁相环。本模块可以实现88~108MHz的频率输出,输出频率稳定度优于,输出电压峰峰值1V±0.1V等其他指标。 关键词:鉴相器,环路滤波器,压控振荡器,分频;

目录 1、系统方案设计................................................. - 4 - 1.1总体方案设计................................................. - 4 - 1.2系统设计方案................................................. - 4 - 1.2.1压控振荡器的设计方案论证与选择........................ - 4 - 1.2.2频率合成器的设计方案论证与选择........................ - 4 - 2、主要电路设计与分析........................................... - 5 - 2.1前置分频器和锁相频率合成器电路设计.......................... - 5 - 2.2环路滤波器设计.............................................. - 6 - 2.3压控振荡器电路设计.......................................... - 7 - 2.4锁相环分析.................................................. - 7 - 3、系统测试与分析................................................... - 9 - 3.1测试方案..................................................... - 9 - 3.2测试结果..................................................... - 9 - 3.3数据分析..................................................... - 9 - 4、总结............................................................ - 10 -参考文献........................................................... - 10 -附录............................................................... - 10 -

相关主题
相关文档
最新文档