DSP任意波形信号发生器毕业设计

DSP任意波形信号发生器毕业设计
DSP任意波形信号发生器毕业设计

目录

摘 要 (2)

Abstract (3)

1 绪论 (4)

1.1概述 (4)

1.2选题的目的、意义 (4)

1.3 选题的背景 (5)

1.4 本文所研究的内容 (6)

2 波形信号发生器的原理及方案选择 (7)

2.1任意波形信号发生器的原理 (7)

2.1.1 直接模拟法 (7)

2.1.2 直接数字法 (7)

2.2 任意波形发生器的设计方案 (9)

2.2.1 查表法 (9)

2.2.2计算法 (9)

2.2.3传统方法 (10)

3 基于DSP 5416的任意波形信号发生器的软件设计 (12)

3.1 TMS320C5416的开发流程 (12)

3.2软件开发环境 (13)

3.3任意波形信号发生器的软件编程 (14)

3.3.1 计算法实现波形输出 (14)

3.3.2 D/A转换 (15)

3.3.3波形控制及软件设计流程图 (16)

3.4参数的设定 (18)

4 基于DSP 5416的任意波形信号发生器的硬件设计 (20)

4.1 TMS320VC5416开发板 (20)

4.2 TMS320VC5416实验箱的连接 (23)

4.3 波形信号发生器的硬件测试过程 (23)

5 任意波形信号发生器展望 (28)

结束语 (29)

致谢 (30)

参考文献 (31)

摘 要

任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。

随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。

目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。

本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。

关键词:DSP,任意波形信号发生器,DDS

Abstract

The Arbitrary Waveform Generator is one kind of the signal source, it has the characteristics that there are the signal sources, favored by people because of its high performance advantage. The signal source provides the known signals needed for circuit-under-test mainly (various kinds of waveforms), then measure the parameter that is interested in with other instrument. It is obvious the signal source is used and test testing while dealing with in various kinds of experiments, it is not a measuring instrument, but according to the request of user, as encourage source, emulation various kinds of test signal, offer to the circuit-under-test, in order to meet measurement or various kinds of actual needs.

With the application of the radio expansion against radio, television, radar, communications, the special signal generator is a considerable development. It is perform of the carrier modulation diversification, AM, FM, phase of the pulse modulation. It is obviously very convenient if using multiple signal generators was measured signal. so arbitrary waveform generator (Arbitrary Waveform Generator. AWG) is required, it can generate arbitrary frequency of the carrier frequency signal and various carrier modulation signal.

Our country has already begun to develop the Arbitrary Waveform Generator at present, make the gratifying achievement. But generally speaking, the generator of Arbitrary Waveform Generator of our country has not formed the real industry yet. And our country all have greater disparity with the foreign like product in the kind and performance of the Arbitrary Waveform Generator at present, so step up seeming extremely urgent to the reseach of this kind of product..

The following is the main task of the article:

First, I'll introduce the notion, meaning and development history of AGW. The next part is used the simulation software tools of CCS to achieve arbitrary waveform generator , And then I'll introduce right hardware and connectivity test results; Finally, I'll prospect the arbitrary waveform generator for about future.

Keyword: DSP,Arbitrary Waveform Generator, DDS

1 绪论

1.1 概述

信号源有很多种,包括正弦波信号源、函数发生器、脉冲发生器、扫描发生器、任意波形发生器、合成信号源等。一般来讲任意波形发生器,是一种特殊的信号源,综合具有其它信号源生成能力,因而适合各种仿真实验的需要。主要有这样的功能:

1、函数功能

函数信号源是使用最广的通用信号源,它能提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫频能力、众所周知,在基础实验中设计一种电路,需要验证其性能、可靠性与稳定性,就需要给它施加理想的波形以辨别真伪。如可使用信号源的 DC 补偿功能对固态电路控制 DC偏压电平;可对一个怀疑有故障的数字电路,利用信号源的方波输出作为数字电路的时钟,同时使用方波加 DC 补偿产生有效的逻辑电平模式输出,观察该电路的运行状况,而证实故障缺陷的地方。总之,利用任意波形发生器这方面的基础功能,能仿真基础实验室所必需的信号。

2、任意波形

众所周知,在实际的电子环境所设计的电路在运行中,由于各种干扰和响应的存在,实际电路往往存在各种信号缺陷和瞬间变化的信号,如在设计之初没有考虑进去,有的将会产生灾难性的后果。

由于任意波形发生器特殊的功能,为了增强任意波形生成能力,它往往依赖计算机通讯输出波形数据。在计算机传输中,通过波形编辑软件生成波形,有利于扩充仪器的能力,更进一步仿真模拟实验。同时由于编辑一个任意波形有时需要花费很长的时间和精力,并且每次编辑的波形可能有所差异,一般会在任意波形发生器内配置一定数量的非易失性存储器。可以把所需要的波形从计算机接口下载到任意波形发生器的存储器中。

综上所述,不论是在生产还是在科研与教学上,任意波形发生器是电子工程师信号仿真实验的最佳工具。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,而任意波形发生器已成为测试仪器中至关主要的一类,因此开发任意波形发生器具有重大意义。

1.2 选题的目的、意义

任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。

目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业,任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。

1.3 选题的背景

任意波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。任意波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。

在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形,输出的波形具有良好的相位噪声、较低的寄生分量以及较快的开关速度等,但是模拟电路的漂移较大,使输出的波形的幅度稳定性差,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因而很难将频率调到某一固定值;二是脉冲的占空比不可调节。

在70年代后,微处理器的出现,可以利用为处理器、A/D/和 D/A、硬件和软件是波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对 DAC的程序控制,就可以得到各种简单的波形。例如,令微处理器的累加器 A 自身循环增量,每增量一次即向 DAC 送出一个数,使 DAC 有一个输出。因为当 A的内容达到最大值 255 时,再增量一次,A 的内容就变为最小值(零),然后可以继续增加。如此,周而复始,就可以从 DAC 输出获得一个正相的阶梯波。用同样的方法还可获得方波、锯齿波、三角波等波形。软件控制波形的一个最大缺点就是输出波形的频率低,主要时由 CPU的工作速度决定的,如果想提高频率可以改进软件程序减少其执行周期或提高 CPU的时钟周期,但这些办法时有限度的,根本的办法还是要改进硬件电路。

当时的信号处理的微处理器,时钟频率只有1~2MHz,A/D 和 D/A 一般在 8 位左右,内部存储容量也很小。因此,能够产生正弦波的有效频宽不会超过 1MHz,要获得比较平滑的低失真度的波形,重复频率不会超过 10KHz。用数字方法的函数发生器尚处于开发阶段,正式的商品还不多。到了 1988年,出现几种真正高性能、高价格的函数发生器、但是 HP 公司推出了型号为 HP770S 的信号模拟装置系统,它由 HP8770A 任意波形数字化合成器和 HP1776A 波形发生软件组成。HP8770A 实际上也只能产生 8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为 Data-2020的多波形合成器,Lecroy 公司生产的型号为 9100 的任意波形发生器等。现代电子、计算机和信号处理等技术的发

展,极大的促进了数字化技术在电子测量仪器的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之逐渐发展起来。

目前任意波形发生器的基础就是直接数字合成技术,用存储器做查询表通过数字形式存入的波形,由数/模转换器产生所需要的任意波形。

1.4 本文所研究的内容

对任意波形发生器的研制在国外已有报道,而国内在这方面报道较少,本论文的任务是根据任意波形发生器的特点和应用情况,结合新一代高性能芯片DSP5416设计一种使用简单、性能优良的任意波形发生器,该任意波形发生器能产生正弦波、方波,锯齿波等常用的标准信号。整个系统采用DSP5416控制,论文主要研究在任意波形发生器设计时如何合理地确定设计方案,以及在DSP 开发板上硬件连接时需要注意的问题以及板上port3003端口按键的控制,还有软件的编程及仿真的问题。

2 波形信号发生器的原理及方案选择

2.1任意波形信号发生器的原理

目前信号发生的主要实现方法由直接模拟法、直接数字法两种。

2-1 直接模拟法框图

这是传统函数发生器的简化基本结构,一般都是由自由振荡器产生原始波形,然后经过转换电路将原始波形转换成其他波形,在上图中三角波是由振荡器产生的,方波是三角波通过比较器转变而成的,正弦波是三角波通过一个波形整形电路(正弦波整形器)演变而来的,所需要波形经过放大和衰减输出,显然这种方式产生的波形种类有限,每增加一种波形,都要增加相应的转换电路,整个电路变得很复杂,最重要的是要产生用户所需要的任意波形复杂的波形几乎不可能[12]。

2.1.2 直接数字法

直接数字法是采用直接数字合成(Direct Digital Synthesis)的方法实现信号产生。该技术具有频率转换速度快、频率分辨率高、易于控制的突出特点。直接数字合成技术近年来发展得很快,而要产生任意波形就必须采用直接数字很成技术。随着 DDS技术的发展,出现了各种各样的直接数字合成的结构,但基本上可以分成两种:基于地址计数器的数字频率合成法和基于相位累加器的数字频率合成法[12]。

1 基于地址计数器的直接数字合成法

(1)结构框图

图 2-2 基于地址计数器的直接数字合成法

这是一种最简单的直接数字很成方式,这种直接数字频率合成器的结构包括地址计数器,存储器和D/A转换器。

(2)工作原理

将波形数据存储于存储器中,而后用可程控的时钟信号为存储器提供扫描地址,与每个地址相对应的数据则代表波形在等间隔取样点上的幅度值。数据被送至 DAC,从而产生一个正比于其数字编码的电压值,每个电压值保持一个时钟周期,直至新的数据送至 DAC,经数模转换后得到所需要的模拟电压波形。在存储器里的数据产生的波形是对“取样波形”的阶梯近似。

假定地址计数器的时钟频率为 fc,波形一周期内有 n 个采样值,那么合成的波形频率为:

f=fc/n (2.1)如果改变地址计数器的时钟频率或 ROM的地址步进大小,合成波形的频率都会随着改变。而要改变波形,只要在只读存储器中写入不同的数据。

2 基于相位累加器的直接数字合成法

(1)结构框图

图 2-3基于相位累加器的直接数字合成法结构框图

这种结构中主要由相位累加器、数据ROM、D/A变换器组成,它是种全新的直接数字合成方式。

(2)工作原理

将要产生的波形数据存入波形存储器,然后在参考时钟的作用下,对输入的频率数据进行累加,并且将累加器的输出一部分作为读取波形发生器的地址,将读出的波形数据经 D/A转换为相应的电压信号,D/A转换器输出的一系列的阶梯电压信号经过低通滤波后便输出了光滑的波形信号。以合成正弦波为例,通常我们考虑一个正弦波时习惯使用正弦波的幅度-时间表达式:

S(t)=Asin(ax+φ) (2.2)正弦函数幅度的非线性使依据幅度产生任意频率的正弦波非常困难,但我们注意到,正弦波的相位是线性变化的,DDS 技术的关键就在于充分利用了正弦波相位线性变化这一特性,在 DDS 芯片中,其核心部件是相位累加和 SIN 函数表,下面作简单介绍:

?相位累加器

相位累加器在功能上说实质是一个N 位快速可循环累加器,N 位的相位累加器在每一个时钟来临时与频率控制所决定的相位增量Aφ累加一次,计数大于 2N时则自动溢出,保留后面 N 比特的数字于累加器中。每当相位累加器计数满后,可自动循环重新累加,所以输出相位可以保持连续变化,这就保证了输出正弦波的连续性。

?正弦函数相位-幅度转化表(Sine Look-Up Table)

相位累加器的输出是随时间不断线性变化的用 N 位二进制数表达的相位信息,相位信息是无法直接利用的,必须设法把相位信息转换成幅度信息,在 DDS 技术中,人们把对应于不同相位的 SINE 函数的幅度存储在 ROM中,一般地,只要取相位累加器的高 A 位作为寻址信号,就完全可以满足精度的需要了。

2.2 任意波形发生器的设计方案

随着 DDS 技术的发展和高速数字处理器件DSP 的出现,使得具有智能化、人性化的操作平台,便于软硬件升级的模块化结构设计,具有多载波同时组合输出的能力等特点的新型任意波形发生器的实现成为可能。

本次设计主要是利用DSP5416开发板(ICETEK-VC5416-AR-S80教学实验箱),采用直接数字合成技术(DDS),来实现任意波形得产生。

直接数字合成技术有三种基本的算法:查表法、计算法和传统的方法[4][14][17]。

2.2.1 查表法

查表法,即事先将需要输出的数据值计算好,存储在DSP的内部RAM中,然后依次循环输出,从而产生周期波形。

利用查表法来产生正弦波,就需要先产生一个正弦表。在VC5416的片内ROM中包含有256字的正弦及余弦数据表,可以通过程序直接使用该表中的数据,由D/A回放出正弦波。但由于该表中数据量有限,得到的正弦波频率单一。就如TI公司的声明所述,芯片ROM中的内容可能在将来发生改动,这样不利于系统的兼容。有效的解决方法是使用自己生成的正弦数据表。这样,不但可以解决频率单一的问题,还可以增加数据量,从而增加精度。其缺点在于使用大量的存储空间。

正弦表可以通过MATLAB模拟仿真后生成数据文件得到,也可以采用2.2.2中的办法用计算的方法生成。

查表法的优点是速度快,可以产生频率较高的波形,而且不占用DSP的计算时间;缺点是需要占用DSP的内部的存储空间,尤其对采样频率比较大的输出波形,所需要占用DSP的内部的存储空间将更大,而DSP内部的存储空间毕竟有限,这样使得查表法的应用场合十分有限。

2.2.2 计算法

计算法,即采用计算的方法依次计算数据然后输出。计算法的优缺点正好和查表法相反。其优点是不占用DSP的存储空间,可以根据信息随时改变或者调整输出的周期波形。

其缺点是占用DSP 的计算时间,使得执行程序的开销增大。使用算法计算输出波形某点的幅度编码值(如正弦波可通过泰勒级数展开得到),这种方法可直接精确地计算出每个角度的波形值,所占用的存储空间小,但对于任意波形的输出,所需的算法较为复杂,系统实时性也会受到影响。

(1) 递推公式法

余弦信号的递推公式如下:

cos(nx)=2cosxcos[(n-1)x]-cos[(n-2)x] (2.3) 首先,计算cos(nx)的值,然后使用n 控制乘法和减法运算,产生信号,延时的cos[(n-1)x]和cos[(n-2)x]必须预先计算好,并存储在DSP 存储空间。

(2)泰勒级数法

与查表法相比,该法具有节约存储空间,精度高等优点,而且展开的级数越多,失真度就越小。但因其运算量较大,所以适用于对速度要求不严格的场合。

一个角度为θ的正弦和余弦函数,都可以展开成为泰勒级数,取其前五项进行近似:

3579

2222

sin 3!5!7!9!

(1(1(1(1))))23456789

x x x x x x x x x x θ=?+?+=????????(2.4)2468

2222cos 12!4!6!8!1(1(1(1)2345678x x x x x x x x θ=?+?+=????))??? (2.5)

其中: 为角度值,x为其对应的弧度值,x=f*2π/f s (f s 是采样频率)。计算不同的角

度只需不断地变换 的值,且利用弧度与频率之间的关系很容易实现变频处理;调幅处理则可将输出的离散波形值乘以相应的缩放因子得到。计算出x 轴每一点对应的y 值,然后通过D/A 转换即可输出连续的正弦模拟信号。

2.2.3传统方法

计算法,即采用计算的方法依次计算数据然后输出。计算法的优缺点正好和查表法相反。其优点是不占用DSP 的存储空间,可以根据信息随时改变或者调整输出的周期波形;其缺点是占用DSP 的计算时间,使得执行程序的开销增大。

最后介绍的是传统方法,即相位累加器PA 在频率控制字的控制下,以参考时钟频率f C 为采样频率,产生待合成信号的数字线性相位序列,将其高位作为地址码寻址波形存储器ROM ,产生对应信号波形的数字序列,再由模数转换器DAC 将其变换成阶梯模拟信号,最

后由具有内插作用的低通滤波器LPF平滑为连续的正弦波形输出。利用DSP芯片TMS320VC5416 控制DDS 芯片,可以产生一个分辨率高、转换速度快、输出频谱纯的信号,且具有调幅、调相、线性及非线性调频功能。

本次设计主要是采用计算法,产生信号的波形,并通过实验板上的port3003端口的按键实现控制波形输出的功能。

3 基于DSP 5416的任意波形信号发生器的软件设计

3.1TMS320C5416的开发流程

当系统的硬件结构和处理算法基本确定,并选定了TMS320C5416作为核心处理器时,TMS320C5416的应用软件开发主要完成以下的工作。

首先是选择编程语言编写源程序。TMS320C5416提供2种编程语言:汇编语言和C 语言。对于完成一般性功能的代码,这2种语言都可使用,但对于一些运算量很大的关键代码最好采用手工编写的汇编语言来完成。

当源程序编写好后,就要选择开发工具和环境。对TMS320C5416提供有2种开发环境:—种是非集成的开发环境;另一种是集成开发环境Code Composer Studio,简称CCS。CCS在Windows操作系统下运行。它集成了非集成开发环境的所有功能,并扩展了许多其他的功能。

若源程序为C语言,需调用TMS320C5416 C编泽器将其编译成汇编语言后,送TMS320C5416汇编器进行汇编。对于用汇编语言编写的程序则直接送给汇编器进行汇编。汇编后产生coff格式的目标文件,再调用链接器进行链接,生成在TMS320C5416可执行的coff格式的目标代码,并利用调试工具对可执行的目标代码进行调试,以保证应用软件的正确无误并满足使用的要求。最后,如果需要,可调用Hex代码转换工具,将coff格式的目标代码转换成EPROM编程器能接受的代码,将代码烧制进EPROM。

开发流程图如下:

图3-1开发流程图

其中,C编译器将C语言源代码转换成汇编语言源代码;汇编器将汇编语言源程序转换成机器语言的COFF目标格式;链接器将由汇编器产生的可重新定位的COFF目标文件结合起来形成单个可执行的COFF目标模块,在它生成可执行模块的同时,将符号放人存储器的指定位置,并分辨对这些符号的所有引用。

由于COFF在编写汇编语言程序时采用代码和数据块的形式,因此便于模块化的编程。这些代码和数据块称为段。所谓段,是指连续地占有存储空间的—个数据或代码块。汇编器对段的处理就是通过段伪指令区分出各个段,并将段名相同的语句汇编在一起。链接器的功能之—是将段重新定位到目标系统的存储器空间中。该功能称为定位或分配[1]。 3.2软件开发环境

CCS(Code Composer Studio)是一个完整的 DSP集成开发环境,也是目前最优秀、最流行的 DSP 开发软件之一。CCS 最早是由 GO DSP 公司为 TI 的TMS320C6000 系列开发的,后来 TI 收购了 GO DSP,并将 CCS 扩展到其它系列。现在所有的 TI DSP 都可以使用该软件工具进行开发,只是只有 TMS320C5000和 TMS320C6000的 CCS 中才能提供 DSP/BIOS 功能。

CCS 一般工作在两种模式下:软件仿真器和与硬件开发板相结合的在线编程。前者可以脱离 DSP 芯片,在 PC 机上模拟 DSP 的指令集与工作机制,主要用于前期算法实现和调试。后者实时运行在 DSP 芯片上,可以在线编制和调试应用程序。

在 CCS 下,开发者可以对软件进行编辑、编译、调试、代码性能测试(profile)和项目管理等所有工作。除此之外,它还提供了实时分析和数据可视化功能,大大降低了 DSP 系统的开发难度,使开发者可以将精力集中在应用开发上。

CCS 包含的主要功能有:

*集成可视化代码编辑界面,可直接编写 C,汇编,.H 文件,.CMD 文件等。

*集成代码生成工具,包括汇编器,优化 C 编译器,连接器等等。

*基本调试工具,如装入执行代码(.out 文件),查看寄存器窗口,存储器窗口,反汇编窗口,变量窗口等,支持 C 源代码级调试。

*支持多 DSP 调试。

*断点工具,包括硬件断点,数据空间读/写断点,条件断点(使用 GEL 编写表达式)等等。

*探针工具(probe points),可用于算法仿真,数据监视等。

*剖析工具(profile points),可用于评估代码执行的时钟数。

*数据的图形显示工具,可绘制时域/频域波形,眼图,星座图,图象等,并可自动刷新(使用 Animate 命令运行)。

*提供 GEL 工具,令用户可以编写自己的控制面板/菜单,从而方便直观地修改变量,配置参数等。

*支持 RTDX(Real Time Data eXchange)技术,利用该技术可在不中断目标系统运行的

情况下,实现 DSP 与其他应用程序(OLE)实现数据交换。

*开放式的 plug-ins 技术,支持其它第三方的 Active 插件,支持各种仿真器包括软仿真(只需安装相应的驱动程序)。

提供 DSP/BIOS 工具,DSP/BIOS是 CCS 提供的一套工具,它本身仅占用极少的CPU 资源,DSP/BIOS 提供底层的应用函数接口,可用于支持系统实时分析、使用线程来管理程序、管理硬件中断、调度软件中断,周期函数,Idle函数。利用该工具可增强对代码的实时分析能力,如分析代码执行的效率,调度程序执行的优先级,方便管理或使用系统资源(代码/数据占用空间,中断服务程序的调用,定时器使用等等),从而减少开发人员对硬件资源熟悉程度的依赖性[2][3]。

3.3任意波形信号发生器的软件编程

计算法实现波形的输出首先要根据一个数学公式,然后经过用C或者是汇编语言的编写产生需要转换的数值,最后经过D/A转换后将数字的信号转换为模拟的电压信号输出,产生最终的波形。

3.3.1 计算法实现波形输出

计算法实现波形信号的输出可由泰勒级数的公式实现,在第二章的泰勒级数中已经做过介绍。也可以有定义一个数组公式,利用DSP的实时读出数据来产生波形。

正弦数组公式:

sinx()

for(n=0;n<256;n++)

{tab[n]=(int)(0.01*m*(2048+2047*sin(2*PI*n/256))); (3.1) tabx[n]=(int)(0.01*m*(2048+2047*sin(2*PI*n/256)));

}

方波数组公式:

fangbo()

for(n=0;n<128;n++)

{tab[n]=(int)(0.01*m*4095);

tabx[n]=(int)(0.01*m*4095); (3.2)

}

for(n=128;n<256;n++)

tab[n]=0;

在这些数组中,DSP器件实时的读出数组每次所产生的数据,经过D/A转换以后,产生模拟的电压,从而达到波形的输出。

采用泰勒级数法产生正弦波的过程可用下图来表示:

图3-2泰勒级数法产生正弦波框图

π/4的弧度为0.7854<1,即0~π/4之间的任意正弦、余弦值均可以得到,又可利用公式sin2α=2sin αcos α得到0~π/2之间的正弦值,而0~π/2的正弦曲线与π/2~π的正弦曲线关于x=π/2左右对称,于是得到π/2~π的正弦值0~π的正弦曲线的相反数通过x=π又与π~2π的曲线左右对称。这样,一个周期内完整的正弦波就得到了。

但是由于DSP5416是16位定点的DSP 器件,在用泰勒级数产生正弦波时,当运算到……时,DSP5416可能产生溢出,从而不能产生波形。要想解决这种情况,必须采用更高性能的DSP 芯片,提高其运算能力。

(21/11*12x ?)3.3.2 D/A 转换

利用专用的数模转换芯片,可以实现将数字信号转换成模拟量输出的功能。在本次设计的D/A 转换的过程中,由于DSP 开发板上的D/A 转换芯片TLC7528 的两路转换通道分别映射在 5416 I/O 空间的地址 0x1000 和 0x1001。向该地址写入的数据会直接送到 TLC7528 进行转换。因此定义port1000端口为D/A 转换端口,port1004端口为D/A 控制端口。

在 ICETEK-VC5416-AR 板上,使用的是 TLC7528 数模芯片,它可以实现同时转换 2 路模拟信号数出,并有 8 位精度,转换速率 100K/S 。其控制方式较为简单:首先将需要转换的数值通过数据总线传送到 TLC7528 上相应寄存器,经过一个时间延迟,转换后的模拟量就从 TLC7528输出引脚输出。

其程序流程图如下:

图3-3程序流程图

3.3.3波形控制及软件设计流程图

在本次设计程序中,程序想要完成的功能是通过按键控制波形的变换、幅度、相位和频率的调整。在编程的过程中,可以设置SW按键为控制按键,而指示灯提供指示的功能,判断程序中的k为何值。

port3003端口为SW按键控制端口,port3002端口为指示灯控制端口。

程序控制如下:

当开发板上的SW6健为1是开始进行键盘调整。为0时,SW不起任何作用。当SW6为1时。首先判断k的值。

当k=0时,通过改变开发板上的按键SW1和SW2来改变波形的选择。

当SW1键由1—>0时,波形选择变量A值加1。

当SW2键由1—>0时,波形选择变量A值减1。

A=0时为正弦波,A=1时为方波,A=2时为余弦波。

当k=1时,通过改变开发板上的按键SW1和SW2来改变幅度值。

当SW1键由1—>0时,幅度变量m值加1。

当SW2键由1—>0时,幅度变量m值减1。

当k=2时,通过改变开发板上的按键SW1和SW2来改变波形的频率。

当SW1键由1—>0时,波形频率变量f值加1。

当SW2键由1—>0时,波形频率变量f值减1。

当k=3时,通过改变开发板上的按键SW1和SW2来改变波形的相位。

当SW1键由1—>0时,波形相位变量p值加1。

当SW2键由1—>0时,波形相位变量p值减1。

K值的选择有键盘上的SW4、SW5键来选择。

当SW4键由由1—>0时,k值减1。

当SW5键由由1—>0时,k值加1。

在本程序的配置文件中,可以设置程序存储器的起始地址为0c00h,取名为EN_ROM.数据存储器的起始地址为60h,取名AN_RAM,另一数据存储器的起始地址为1400h,取名为DN_RAM。

软件流程图如下:

图3-4 软件设计流程图

3.4参数的设定

程序编写之后,需要对CCS的几项运行指标进行设置:

(1)在OPTION选项中点击Customize,其中的Program/Project Load中有一项Load Program After B…,选中该选项,如下图,表示C语言源程序Build完之后将自动生成汇编语句,若不生成汇编语句,则汇编器将无法产生COFF目标文件。

图3-5 指标设定对话框

(2)在Project选项中点击Build Option,对其中的Linker选项进行设置,包括堆栈大小和程序入口地址,如下图:

图3-6 “Build Option”对话框

以下为程序编译之后的CCS界面:

图3-7编译结果

4 基于DSP 5416的任意波形信号发生器的硬件设计

4.1TMS320VC5416开发板

为了缩短软、硬件的开发调试时间,采用 TI 公司推出的 TMS320VC5416 的ICETEK-VC5416-AR开发板作为主开发平台。TMS320VC5416结构如下图所示ICETEK-VC5416-AR板提供了一个并行接口平台,可供 TMS320VC5416 的开发人员对 TMS320VC5416进行应用测试和设计参考。

图4-1 DSP54X教学开发实验系统框图

基于DSP最小应用系统设计实现_毕业论文

第一章绪论 1.1 本论文的背景 随着信息技术的飞速发展,数字信号处理技术已经发展成为一门关键的技术学科,而DSP芯片的出现则为数字信号处理算法的实现提供了可能,这一方面促进了数字信号处理技术的进一步发展,也使数字信号处理的应用领域得到了极大的拓展。在近20年里,DSP芯片已经在通信和家用电器等领域得到了广泛的应用。 1.1.1 数字信号处理器的发展状况 DSP(Digital Signal Processing)也称数字信号处理器,是一种具有特殊结构的微处理器,是建立在数字信号处理的各种理论和算法基础上,专门完成各种实时数字信息处理的芯片。与单片机相比,DSP有着更适合数字信号处理的优点。芯片部采用程序和数据分开的哈佛结构,具有专门的硬件乘法器,广泛采用流水线操作,具有良好的并行特性,提供特殊的DSP指令,可以快速地实现各种数字信号处理算法[1]。 DSP发展历程大致分为三个阶段:70年代理论先行,80年代产品普及,90年代突飞猛进。在DSP出现之前数字信号处理主要依靠MPU(微处理器)来完成。但MPU 较低的处理速度无法满足高速实时的要求。因此,直到70年代才提出了DSP的理论和算法基础。随着大规模集成电路技术的发展,1982年世界上诞生了首枚通用可编程DSP芯片TI的TMS32010。DSP芯片的问世是个里程碑,它标志着DSP应用系统由大型系统向小型化迈进了一大步。进入80年代后期,随着数字信号处理技术应用围的扩大,要求提高处理速度,到1988年出现了浮点DSP,同时提供了高级语言的编译器,使运算速度进一步提高,其应用围逐步扩大到通信、计算机领域。90年代相继出现了第四代和第五代DSP器件。以DSP作为主要元件,再加上外围设备和特定功能单元综合成的单一芯片,加速了DSP解决方案的发展,同时产品价格降低,运算速度和集成度大幅提高[2]。 进入21世纪,现在DSP向着高速,高系统集成,高性能方向发展。当前的DSP 多数基于RISC(精简指令集计算机)结构,且进入了VLSI(超大规模集成电路)阶段。如TI公司的TMS320C80代表了新一代芯片集成技术,它将4个32位的DSP,1个32位RISC主处理器,1个传输控制器,2个视频控制器和50Kb SRAM集成在一个芯片上。这样的芯片通常称之为MVP(多媒体视频处理器)。它可支持各种图像规格和各种算法,功能相当强。而第六代TMSC6000系列则是目前速度最快,性能最高的DSP芯片,该系列芯片的发展蓝图中有高至5000MIPS,3G FLOPS的处理性能。

脉冲信号发生器使用方法

脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般都以矩形波为标准信号输出。 脉冲信号发生器的种类繁多,性能各异,但内部基本电路应包括图1所示的几个部分。 主振级一般由无稳态电路组成,产生重复频率可调的周期性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器使输出的脉冲信号幅度可调。 所示为xc-15型脉冲信号发生器的面板示意图,xc-15型脉冲信号发生器是高重复频率ns (纳秒)级脉冲信号发生器。其重复频率范围为1kHz~100MHz,脉冲宽度为5ns~300μs,幅度为150mV~5V,并输出正、负脉冲及正、负倒置脉冲,性能比较完善。 (1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ①“频率”粗调开关和“频率细调”旋钮。调节“频率”粗调开关和“频率细调”旋钮,可实现1kHz~100MHz的连续调整。粗调分为十挡(1kHz、3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz和100MHz),用细调覆盖。“频率细调”旋钮顺时针旋转时频率增高,顺时针旋转到底,为“频率”粗调开关所指频率;逆时针旋转到底,为此“频率”粗调开关所指刻度低一挡。例如,“频率”粗调开关置于10kHz挡,“频率细调”旋钮顺时针旋转到底时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②“延迟”粗调转换开关和“延迟细调”旋钮。调节此组开关和旋钮,可实现延迟时间5ns~300,tts的连续调整。延迟粗调分为十挡(5ns、10ns、30ns、l00ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输出负方波的下降沿超前主脉冲前沿的时间。 “延迟细调”旋钮逆时针旋转到底为粗调挡所指的延迟时间。顺时针旋转延迟时间增加,顺时针旋转到底为此粗调挡位高一挡的延迟时间。例如,“延迟”粗调开关置于30ns挡,“延迟细调”旋钮顺时针旋转到底时输出延迟时间为100ns;逆时针旋转到底时输出延迟时间为30ns。 ③“脉宽”粗调开关和“脉宽细调”旋钮。通过调节此组开关和旋钮,可实现脉宽5ns~300μs 的连续调整。“脉宽”粗调分为十挡(5ns、10ns、30ns、100ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。“脉宽细调”旋钮逆时针旋转到底为粗调挡所指的脉宽时间。顺时针旋转脉宽增加,顺时针旋转到底为此粗调挡位高一挡的脉宽。例如,“脉宽”粗调开关置于10ns挡,“脉宽细调”旋钮顺时针旋转到底时输出脉宽为30ns;逆时针旋转到底时输出延迟时间为10ns。 ④“极性”选择开关。转换此开关可使仪器输出四种脉冲波形中的一种。 ⑤“偏移”旋钮。调节偏移旋钮可改变输出脉冲对地的参考电平。 ⑥“衰减”开关和“幅度”旋钮。调节此组开关和旋钮,可实现150mV~5V的输出脉冲幅度调整。 (2)使用注意事项在使用xc 15型脉冲信号发生器时应注意如下两点事项。 ①本仪器不能空载使用,必须接入50Ω负载,并尽量避免感性或容性负载,以免引起波形畸变。 ②开机后预热15min后,仪器方能正常工作。

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

基于DSP的液晶显示毕业设计

摘要 (3) Abstract (4) 第一章绪论 (5) 1.1 选题背景及研究意义 (5) 1.2 国内外发展现状及发展趋势 (6) 1.2.1 电动汽车发展现状及趋势 (6) 1.2 .2 液晶显示技术的发展及其应用前景 (7) 1.2.3数字信号处理器的发展及其应用前景 (8) 1.3本设计研究的主要内容 (9) 第二章系统设计方案 (10) 2.1 DSP软件开发工具简介 (10) 2.1.1 TMS320F2812 (10) 2.2 系统设计概述 (11) 2.3电动汽车几种传感器及其作用 (12) 第三章液晶与液晶显示 (14) 3.1 液晶与液晶显示器件 (14) 3.2 液晶显示器件的基本结构 (15) 3.3 典型的液晶显示器件 (16) 3.3.1 静态驱动段型液晶显示器件 (16) 3.3.2 动态驱动点矩阵型液晶显示器件 (17) 3.4.1 AXG19264 引脚介绍 (19) 3.4.2 图形液晶显示行驱动控制器HD61203U (19) 3.4.3 图形液晶显示列驱动控制器HD61202U (19) 3.4.4 HD61202U 的指令系统 (21) 第四章电动汽车液晶显示系统硬件设计 (24) 4.1 硬件设计分析 (24) 4.1.1 处理器直接访问方式 (24) 4.1.2 处理器间接访问方式 (24) 4.3 硬件设备的调试 (27) 4.4 DSP2812功能模块图 (28) 4.5 DSP与液晶模块硬件接口设计 (29) 4.6 保护电路 (29) 第五章电动汽车液晶显示系统软件设计 (31) 5.1 DSP软件系统开发环境介绍 (31) 2.1.2 CCS的组成 (31) 5.1.2 CCS环境下project的主要成员 (32) 5.2主程序的软件流程图 (34) 5.3软件调试的方法 (34) 第六章总结和展望 (36) 6.1 工作总结 (36) 6.2 后续工作展望 (36) 参考文献 (37) 附录 (38)

基于STM32的简易信号发生器

绍兴文理学院 数理信息学院 课程设计报告书题目基于STM32的简易信号发生器电子信息工程专业 1班 姓名 xxx 指导教师 xxx 时间 2014年 7月12日

课程设计任务书

基于STM32的简易波形发生器 摘要 函数信号发生器是一种能够产生多种波形,如正弦波、方波、三角波、锯齿波等的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出以上波形的波形发生器。本课题采用STM32[1]为控制芯片,采用DDS[2]的设计方法,可将采样点经D/A[3]转换后输出任意波形,可通过调节D/A转换的频率来调节输出波形的频率,也可通过改变取点的起始位置来调节波形的初始相位。 关键词信号发生器STM32 DDS

目录 课程设计任务书.............................................................................................................................. I 摘要……………………………………………………………………………………………….II 1 设计概述 (1) 2 设计方案 (2) 3 设计实现 (3) 3.1 设计框图及流程图 (3) 3.2 MCU控制模块 (5) 3.3 按键控制模块 (5) 3.4 信号输出模块 (6) 3.5 LCD显示模块 (8) 4 设计验证 (8) 5 总结 (11)

1设计概述 信号发生器作为一种历史悠久的测量仪器,早在20年代电子设备刚出现时就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使得信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或作脉冲调制器的脉冲信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器。这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。 自从70年代微处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对D/A的程序控制,就可以得到各种简单的波形。 在80年代以后,数字技术日益成熟,信号发生器绝大部分不再使用机械驱动而采用数字电路,从一个频率基准有数字合成电路产生可变频率信号。 90年代末出现了集中真正高性能的函数信号发生器,HP公司推出了型号为HP770S的信号模拟装置系统,它是由HP8770A任意波形数字化和HP1770A波形发生软件组成。 信号发生器技术发展至今,引导技术潮流的仍是国外的几大仪器公司,如日本横河、Agilent、Tektronix等。美国的FLUKE公司的FLUKE-25型函数发生器是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波,还能给出过冲很小的快沿方波,其最高频率可达到5MHz,最大输出幅度可达到10Vpp。 国内也有不少公司已经有了类似的仪器。如南京盛普仪器科技有限公司的SPF120DDS信号发生器,华高仪器生产的HG1600H型数字合成函数\任意波形信号发生器。国内信号发生器起步晚,但发展至今,已经渐渐跟上国际的脚步,能够利用高新技术开发出达到国际水平的高性能多功能信号发生器。 信号发生器在生产实践和科技领域中有着广泛的应用,各种波形曲线均可用三角函数方程式来表达。函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量 雷达、控制教学等领域应用十分广泛。不论是在生产、科研还是在教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。而且,信号发生器的设计

函数信号发生器(毕业设计)

陕西国防学院电子工程系毕业论文 摘要 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 关键词: ICL8038,波形,原理图,常用接法 1

陕西国防学院电子工程系毕业论文 目录 摘要 (1) 目录 (2) 第一章项目任务 (3) 1.1 项目建 (3) 1.2 项目可行性研究 (3) 第二章方案选择 (4) 2.1 [方案一] (4) 2.2 [方案二] (4) 第三章基本原理 (5) 3.1函数发生器的组成 (6) 3.2 方波发生器 (6) 3.3 三角波发生器 (7) 3.4 正弦波发生器 (9) 第四章稳压电源 (10) 4.1 直流稳压电源设计思路 (10) 4.2 直流稳压电源原理 (11) 4.3设计方法简介 (12) 第五章振荡电路 (15) 5.1 RC振荡器的设计 (15) 第六章功率放大器 (17) 6.1 OTL 功率放大器 (17) 第七章系统工作原理与分析 (19) 7.1 ICL8038芯片简介 (19) 7.2 ICL8038的应用 (19) 7.3 ICL8038原理简介 (19) 7.4 电路分析 (20) 7.5工作原理 (20) 7.6 正弦函数信号的失真度调节 (23) 7.7 ICL8038的典型应用 (24) 致谢 (25) 心得体会 (26) 参考文献 (27) 附录1 (28) 附录2 (29) 附录3 (30) 2

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

基于DSP的谱分析仪毕业设计

本科毕业设计论文基于DSP的谱分析仪设计 学生姓名: 班级:电自0913 学号: 指导教师: 所在单位:电气工程学院

答辩日期:2013年6月24日

摘要 随着计算机和微电子技术的飞速发展,基于数字信号处理的频谱分析已经应用到各个领域并发挥着重要作用。频谱分析仪对于信号分析来说是必不可少的,它可以利用频率对信号进行分析。频谱分析仪可应用于诸多领域,如通讯发射机以及干扰信号的测量,频谱的监测,器件的特性分析等,但各行各业对其性能要求也不尽相同。 本课题主要做了以下工作:首先,本文介绍了频谱分析仪的作用、课题背景、现状及发展趋势;然后,设计了以TI公司的定点数字信号处理器(DSP)TMS320VC5402为CPU的开发系统,包括复位电路、时钟电路、存储器扩展、电源模块、AD采样、DA单元、JTAG等的设计;由于CPU采用FFT算法,所以详细介绍了FFT的原理以及其在TMS320VC5402上的实现。最后,简要介绍了用于开发DSP的集成开发环境CCS。 关键词:TMS320VC5402;频谱分析;FFT;功率谱

Abstract With the rapid development of computer and microelectronics technology, spectrum analysis based on digital signal processing (DSP) has been applied to various fields and play an important role. A spectrum analyzer for the signal analysis is indispensable, it can make use of frequency analysis of signals. A spectrum analyzer can be applied to many fields, such as communication transmitter and the interfering signal measurement, spectrum monitoring, device characteristics analysis and so on, but in all walks of life to its performance requirements are also different. This topic mainly done the following work: first of all, this paper introduces the role of a spectrum analyzer, topic background, present situation and development trend; Then, designed by TI company's fixed-point digital signal processor (DSP) TMS320VC5402 as CPU development system, including the reset circuit, clock circuit, memory expansion, a power supply module, AD sampling, DA units, such as JTAG design; Due to the CPU adopts FFT algorithm, so the principle of FFT is introduced and its implementation on TMS320VC5402. Finally, this paper briefly introduces the integrated development environment CCS for the development of DSP. Keywords:TMS320VC5402;Spectrum analyzer;FFT;Power spectrum

简易矩形波发生器报告

数字电路设计研讨 --简易矩形波信号发生器 姓名:尹晨洋 学号:13211023 班级:通信1301 同组成员:程永涛 学号:13211007 指导老师:任希

目录 一、综述************************************************************ 1 二、电路元件结构及工作原理***************************** 1 1)、555计数器******************************************************** 1 2)、74ls160同步计数器************************************************ 2 3)、74ls175 4位寄存器************************************************* 4三、频率可调的矩形波发生器***************************** 4 1)、频率可调的矩形波发生器电路图仿真电路图******************************* 4 2)、频率可调的矩形波发生器工作原理分析*********************************** 4 3)、仿真结果分析******************************************************** 5四、可显示频率计数器***************************************** 6 1)、可显示频率计数器仿真电路图******************************************** 6 2)、工作原理分析********************************************************* 6 3)、仿真结果分析********************************************************** 7 4)、实验误差************************************************************** 9 五、总结与体会************************************************** 9 六、参考文献*******************************************************

信号发生器毕业设计

信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法

ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

基于DSP的温度采集系统

电子与信息工程学院综合实验课程报告 课题名称基于DSP的温度采集系统

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

任意信号发生器毕业设计开题报告书

苏州科技学院 毕业设计开题报告 设计题目任意信号发生器的硬件设计(基于89C51实现)院系电子与信息工程学院 专业电子信息工程 班级电子0911 学生姓名XXXXXXX 学号 设计地点 指导教师 2013 年3月31 日

设计题目:任意信号发生器的硬件设计(基于89C51实现)课题目的、意义及相关研究动态: 一、课题目的: 信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。信号发生器广泛用于电子电路、自动控制系统和教学实验等领域,它是一种可以产生正弦波,方波,三角波等函数波形的一起,其频率范围约为几毫赫到几十兆赫,在工业生产和科研中利用信号发生器输出的信号,可以对元器件的性能鉴定,在多数电路传递网络中,电容与电感组合电路,电容与电阻组合电路及信号调制器的频率,相位的检测中都可以得到广泛的应用。因此,研究信号发生器也是一个很重要的发展方向。 常用的信号发生器绝大部分是由模拟电路构成的,但这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而本课题设计的函数信号发生器,由单片机构成具有结构简单,价格便宜等特点将成为数字量信号发生器的发展趋势。 本课题采用的是以89c51为核心,结合 DAC0832实现程控一般波形的低频信号输出,他的一些主要技术特性基本瞒住一般使用的需要,并且它具有功能丰富,性能稳定,价格便宜,操作方便等特点,具有一定的推广作用。 二、课题意义: (1)任意信号发生器主要在实验中用于信号源,是电子电路等各种实验必不可少的实验设备之一,掌握任意信号发生器的工作原理至关重要。 (2)任意信号发生器能产生某些特定的周期性时间任意波形(正波、方波、三角波)信号,频率范围可从几个微赫到几十兆赫任意信号发生器在电路实验和设备检测中具有十分广泛的用途。 (3)本课题主要研究开发一个基于51单片机的实验用任意信号发生器,不但成本较低而精度较高,最重要的是开发简单易于调试,具有一定社会价值和经济价值。 (4)任意信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,任意信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。

简易脉冲信号发生器

学号10780133 EDA技术及应用 设计说明书 简易脉冲信号发生器 起止日期:2013 年12 月16日至2013 年12 月20 日 学生姓名高雪 班级10信科1班 成绩 指导教师(签字) 计算机与信息工程学院 2013年12 月20 日

天津城建大学 课程设计任务书 2013—2014学年第1学期 计算机与信息工程学院电子信息科学与技术专业一班级 课程设计名称:EDA技术及应用 设计题目:简易脉冲信号发生器 完成期限:自2013 年12月16 日至2013 年12 月20 日共 1 周 一.课程设计依据 在掌握常用数字电路原理和技术的基础上,根据EDA技术及应用课程所学知识,利用硬件描述语言(VHDL或VerilogHDL),EDA软件(QuartusⅡ)和硬件开发平台(达盛试验箱CycloneⅡFPGA)进行初步数字系统设计。 二、课程设计内容 设计一个简易方波信号发生器,要求能够根据输入信号选择输出不同频率和占空比的脉冲波。输出频率为100,1K,10KHz,每个频率占空比均可在0.1,0.2 ….0.9,档位调节。要求频率可在数码管显示100Hz 的输出至LED灯上显示结果,1K信号输出后经滤波器驱动蜂鸣器测试。 三、课程设计要求 1、要求独立完成设计任务。 2、课程设计说明书封面格式要求见《天津城市建设学院课程设计教学规范》附表1。 3、课程设计的说明书要求简洁、通顺,计算正确,图纸表达内容完整、清楚、规范。 4、测试要求:根据题目的特点,采用相应的时序仿真或者在实验系统上观察结果。 5、课程设计说明书要求: 1)说明题目的设计原理和思路、采用方法及设计流程。 2)系统框图、Verilog HDL语言设计程序或原理图。 3)对各子模块的功能以及各子模块之间的关系做较详细的描述。 4)详细说明调试方法和调试过程。 5)说明测试结果:仿真时序图和结果显示图,并对其进行说明和分析。 指导教师(签字): 教研室主任(签字): 批准日期:2013 年12月12日

相关文档
最新文档