三人表决

三人表决
三人表决

A

B

Y C

三人表决电路

湘潭大学实验报告 课程名称实用数字电子技术基础 实验名称三人表决电路页数 3 专业网络工程班级2班同组者姓名 组别学号 2015551610 姓名黄伟雄 实验日期2016/5/15 一、实验目的 1.验证三人表决电路的功能。 2.熟悉Quartus Ⅱ的Verilog HDL文本设计流程,掌握组合电路的设计仿 真和硬件测试方法。 二、实验要求 根据实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。 三、实验原理 三人表决电路中,当表决某个提案时,多数人同意,则通过提案;同时有一个人具有一票否决权。若全票否决,也给出显示。 设输入为A、B、C,且A具有否决权,同意用1表示,不同意用0表示。 输出X为1时表示提案通过;Y为1时表示全票否决,则三人表决电路真值表如下: A B C X Y 0 0 0 0 1 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 0

四、实验内容 1.三人表决电路的输入与仿真 利用Quartus Ⅱ完成三人表决电路的文本编辑输入和仿真测试等步骤,给出仿真波形。 2.在实验系统上进行硬件测试,验证此设计的功能。对于引脚锁定以及 硬件下载测试,ABC[2..0]分别接至键3、键2、键1;CLK接至时钟CLOCK0(256Hz),输出信号X接D1,输出信号Y接蜂鸣器。最后进行编译、下载和硬件测试实验(通过按下键3、键2、键1,控制D1的亮灭)。 五、实验环境与设备 在实验室用电脑和实验箱进行实验。 六、实验代码设计(含符号说明) module JG3 (ABC,X,Y); //Input Port(s) input [2:0] ABC; //Output Prot(s) output X,Y; reg X,Y; //Additional Module Item(s) always@(ABC) case(ABC) 3'B000: begin X<=1'B0 ;Y<=1'B1 ; end 3'B001: begin X<=1'B0 ;Y<=1'B0 ; end 3'B010: begin X<=1'B0 ;Y<=1'B0 ; end 3'B011: begin X<=1'B0 ;Y<=1'B0 ; end

数字电路实验报告——译码器

第五次试验报告 实验五 译码器 一、实验目的要求 1、熟悉中规模集成电路T4138译码器的工作原理与逻辑功能 2、掌握译码器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、万用表、两个T4138、74LS20 三、实验线路、原理框图 1、T4138的逻辑符号 T4138是一个3线—8线译码器,它是一种通用译码器,其逻辑符号如图1所示。 图1 其中,A 2、A 1、A 0是地址输入端,Y 0、Y 1、Y 2、Y 3、Y 4、Y 5、Y 6、Y 7是译码输出端,S 1、 S 2、S 3是使能端,当S 1=1, S 2+S 3=0时,器件使能。 2、T4138的管脚排列 T4138的管脚排列如图2所示: 图2 3、T4138的逻辑功能 T4138的功能表如下表所示: Y Y Y Y Y Y Y 32 (a )原SJ 符号 (b )GB 符号

3线—8线译码器实际上是一个负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器。 4、用T4138实现一个逻辑函数 译码器的每一路输出,实际上是地址码的一个最小项的反变量,利用其中一部分输出端输出的与非关系,也就是它们相应最小项的或逻辑表达式,能方便地实现逻辑函数。 本试验要求实现以下逻辑函数: Y=AB C +A B C+A BC+ABC=ABC BC A C B A C AB ???=7356Y Y Y Y 用T4138和74LS20实现以上逻辑函数,实验线路见下图(图3): 图3 5,用两个3线—8线译码器组成一个4线—16线的译码器 4线—16线的真值表为: “0Y

三人表决器实验

三人表决器实验 我们实验采取3种输入方式:原理图方式,VHDL方式,VerilogHDL.你可以只看一种.下面我分别一一介绍 三人表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。 采用原理图设计三人表决器 我们根据三人表决器的直值表,可以通过卡诺图化简可以得到: L2=SW1SW2+SW1SW3+SW2SW3 L1=_L2 那么我们可以在MAX+plusII中用原理图实现上面的三人表决器 ~ 下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX+plusII (2)新建一个图形文件:File菜单>new 新建文件时选择Graphic Editor file

点OK (3)输入设计文件 我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以 Symbol ->Enter Symbol(或者双击空白处) 弹出窗口: %

在Symbol Name中输入and2,点OK 同样可以加入or3、input、output、not 对input、output,鼠标左键双击PIN_NAME,那么PIN_NAME被选中,并且变黑,然后输入你要改的名字,如SW1 把元件拖动到合适位置,将光标放到元件的引线出,可以发现光标变为十字星,此时摁住左键就可以进行连线。

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路,74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: 对上式进行化简,得: 将上式变换成与非表达式为: 故,根据输出逻辑表达式,我们可以画出逻辑图为: 5.2、三人表决器电路原理图(仿真图) 我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。 根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为: 5.3、三人表决器电路的安装与调试 按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C 的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果 输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中 用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决 结果为不同意。 5.4、三人表决器产品正面实物图及反面焊接图 5.5、三人表决器产品实验现象与结果分析 实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、 S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下

数字电路译码器实验报告

一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 预习要求: (1)复习组合逻辑电路的分析与设计方法; (2)根据任务要求设计电路,并拟定试验方法; (3)熟悉所用芯片的逻辑功能、引脚功能和参数; (4)了解组合逻辑电路中竞争冒险现象的原因及消除方法。 (5)二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。 3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试3线—8线译码器74LS138逻辑功能 将一片3线—8线译码器74LS138插入RXB-1B数字电路实验箱的IC空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB—IB型数字电路实验箱的电源“ ”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED)的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。 表3-2 3线-8线译码器74LS138功能表

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

数字电路译码器设计

目录

1 绪论 设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国 际科学计算软件的先进水平。它主要由 MATLAB和Simulink两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。 2 电路分析 2-4译码器功能分析 2-4译码器有2个输入端,4个输出端和一个使能端。在使能端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为相反电平。输出信号可以是高电平有效,也可以是低电平有效。具体来说,2输入变量,A1 ,A0共有4种不同状态组合,因而译码器有4个输出信号Y???0~Y?????3 并且输出为低电平有效,其真值表如表1所示 ?????10 器处于非工作状态。而当Y???为0时,对应的A1,A0的某种状态组合,其中只有一个输出为0,其余各输出量均为1.例如,A1 A0=00,输出Y???0为0,Y???0~Y?????3均为1.由此可见,2-4

数字电路——2-4译码器设计

目录 1 绪论 (1) 1.1设计背景 (1) 2 电路分析 (2) 2.1 2-4功能分析 (2) 2.2 2-4译码器逻辑图 (3) 3 系统建模与仿真 (4) 3.1 建模 (4) 3.2 仿真波形 (5) 4 仿真结果分析 (7) 5 小结与体会 (8) 参考文献 (9)

1 绪论 1.1设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这 种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 1.2 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学 计算软件的先进水平。它主要由 MATLAB和Simulin k两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。

三人多数表决电路教学提纲

三人多数表决电路

实验十九三人多数表决电路的设计 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 图19—1 三人表决电路 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。 9、完成“三人表决器”的逻辑设计,及组合电路的设计。

数字电路译码器实验报告

数字电路译码器实验报告 一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件;2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 预习要求: (1)复习组合逻辑电路的分析与设计方法; (2)根据任务要求设计电路,并拟定试验方法; (3)熟悉所用芯片的逻辑功能、引脚功能和参数; (4)了解组合逻辑电路中竞争冒险现象的原因及消除方法。 (5)二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC 码的十个代码译成十个高、低电平信号。3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B 数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试3线—8线译码器74LS138逻辑功能

将一片3线—8线译码器74LS138插入RXB-1B 数字电路实验箱的IC 空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC 端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4 、Y3 、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB —IB 型数字电路实验箱的电源“ ”,16号引脚+5V 接至RXB-1B 数字电路实验箱的电源“ +5V ”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED )的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。 表3-2 3线- 8线译码器 74LS138功

三人表决器电路的设计与安装

电子产品制作与调试 实验报告 报告名称:三人表决器电路的设计与安装专业班级:光伏121 姓名:陈志坚 学号:20120160 指导教师:舒为清 江西工业工程职业技术学院电工电子实训中心

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 序号种类名称规格型号数量 1 U1 芯片74LS00 1 2 U2 芯片74LS10 1 3 R1、R2、R3 电阻1KΩ 3 4 R4 电阻300Ω 1 5 S1、S2、S3 按钮普通 3 6 LED 发光二极管单色发光二级管 1 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路, 74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

数字电路译码器设计

目录1 绪论................................................................. 1.1设计背景 ........................................................... 2 电路分析............................................................. 2.1 2-4功能分析 ...................................................... 2.2 2-4译码器逻辑图 ................................................... 3 系统建模与仿真....................................................... 3.1 建模............................................................... 3.2 仿真波形........................................................... 4 仿真结果分析......................................................... 5 小结与体会........................................................... 参考文献...............................................................

数字电路——2-4译码器设计

目录1 绪论1 1.1设计背景1 2 电路分析2 2.1 2-4功能分析2 2.2 2-4译码器逻辑图3 3 系统建模与仿真4 3.1 建模4 3.2 仿真波形5 4 仿真结果分析7 5 小结与体会8 参考文献9

1 绪论 1.1设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 1.2 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。它主要由 MATLAB和Simulink两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。

三人表决器

项目一:三人表决权器的设计与装配 实训报告 姓名高君 学号 20100962 专业数字电子技术 指导教师林梅 实训时间 9月27日 电子信息工程系 2011-2012学年第一学期 [设计题目] 项目一:三人表决器的设计与装配 [设计要求] 1.熟悉各元件的性能和设置元件的参数。 2.对电路图的原理进行分析,并对原理图进行改良。用Mutisim软件中进行仿真调试,弄清楚电路的工作原理。 3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。

4.对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5.学习数字逻辑电路的设计方法。 6.熟知74LS00D各引脚的功能及内部结构。 7.学会使用74LS00D芯片。 8.学会真值表与逻辑表达式及卡诺图之间的转换,能根据化简后的逻辑表达式画出逻辑电路。 [主要技术参数及指标] 74LS00D芯片两块 Y=AB+BC+AC [设计原理及仿真] 1.1设计原理与思路(示例): 通过输入高低电平来控制发光二极管,高低电平的输入通过按键 来实现,同意则合上按键输入高电平(5V)表示1,不同意则不合上表示输 入低电平(接地)表示0,两人或两人以上同意灯亮否则不亮。 2.1元件清单: 序号元件参数数量 1 电阻R1 2KΩ4个 4 发光二极管1个 5 按钮3个 6 74LS00D芯片2块 2.2 电路真值表 A B C Y 不同意不同意不同意不亮 不同意不同意同意不亮 不同意同意不同意不亮 不同意同意同意灯亮 同意不同意不同意不亮 同意不同意同意灯亮

数字电路——2-4译码器设计

………………………………………………最新资料推 荐……………………………………… 目录 1 绪论 (1) 1.1设计背景 (1) 2 电路分析 (2) 2.1 2-4功能分析 (2) 2.2 2-4译码器逻辑图 (3) 3 系统建模与仿真 (3) 3.1 建模 (3) 3.2 仿真波形 (3) 4 仿真结果分析 (6) 5 小结与体会 (6) 参考文献 (7)

1 绪论 1.1设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这 种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 1.2 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学 计算软件的先进水平。它主要由 MATLAB和Simulin k两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。

数字电路数选,译码器,全加器实验报告

实验课程名称:数字电子技术基础实验 实验项目:数据选择器,译码器&全加器 班级 姓名 学号 指导老师 实验开始时间 2020 年 4 月28 日20时 0 分 第一部分:实验预习报告(包括实验目的、意义,实验基本原理与方法,主要仪器 设备及耗材,实验方案与技术路线等) 一、实验目的 1, 掌握组合逻辑电路的功能测试。 2, 学会组合逻辑电路的设计制作方法。 3,制作一个全加器,能进行加数、被加数、低位来的进位信号三者相加,并根据求和结果分别显示本位和及向高位进位的信号。 4,在仿真软件中用多种方案实现全加器。 二,实验原理与方法 2、由真值表写出逻辑表达式并写出化简过程 (1)S i =A i B i?C i +A i B ?i C i ?+A i B i C i ?+A i B i ?C i = A i (B i ?C i +B i C i ?)+A i B ?i C i ?+A i B i?C i =A i (B i?⊕C i )+A i (B ?i C i ?+B i?C i ) =A i (B i?⊕C i )+ A i (B i?⊕C i ??????????) =A i ⊕B i?⊕C i (2)C i+1=A i ?B i C i +A i B i ?C i +A i B i C i ?+A i B i?C i =(A i ?B i +A i B i ?)C i +A i B i (C i ????+C i ) =( A i ⊕B i?)C i +A i B i?

3,在仿真软件中测试真值表。 二输入异或门 二输入与门 二输入或门 两个四输入与非门

译码器实验报告

课程编号: 深圳大学实验报告 课程名称:数字电路 实验名称:译码器 学院:信息工程学院 指导教师:刘静 报告人:李金梁组号: 03 学号: 2013130025 实验地点: n102 实验时间: 2014年 10 月 29 日 提交时间: 篇二:数字电子线路实验报告_译码器及其应用 数电实验报告 实验三译码器及其应用 一、实验目的 1、掌握译码器的测试方法。 2、了解中规模集成译码器的功能,管脚分布,掌握其逻辑功能。 3、掌握用译码器构成 组合电路的方法。 4、学习译码器的扩展。 二、实验仪器 1、数字逻辑电路实验板 1块 2、74hc138 3-8线译码器 2片 3、74hc20 双4输入与非 门 1片 三、实验原理 1、中规模集成译码器74hc138 74hc138是集成3线-8线译码器,在数字系统中应用比较广泛。图3-1是其引脚排列。 其中 a2 、a1 、a0 为地址输入端, 0y~ 7y为译码输出端,s1、2s、3s为使能端。74hc138真值表如下: 74hc138引脚图为: 74hc138工作原理为:当s1=1,s2+s3=0时,电路完成译码功能,输出低电平有效。其 中: 2、译码器应用 因为74hc138 三-八线译码器的输出包括了三变量数字信号的全部八种组合,每一个输 出端表示一个最小项,因此可以利用八条输出线组合构成三变量的任意组合电路。 四、实验内容 1、译码器74hc138 逻辑功能测试(1)控制端功能测试测试电路如图: 按上表所示条件输入开关状态。观察并记录译码器输出状态。 led指示灯亮为0,灯不 亮为1。 (2)逻辑功能测试 将译码器使能端s1、2s、3s及地址端a2、a1、a0 分别接至逻辑电平开关输出口,八个 输出端y7 ?????y0依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按 下表逐项测试74hc138的逻辑功能。 2、用74hc138实现逻辑函数 y=ab+bc+ca 如果设a2=a,a1=b,a0=c,则函数y的逻辑图如上所示。用74hc138和74hc20各一块 在实验箱上连接下图线路。并将测试结果下面的记录表中。 3、用两个3线-8线译码器构成4线-16线译码器。 利用使能端能方便地将两个 3/8译码器组合成一个4/16译码器,如下图所示。

数字电路(译码器)

译码器 常用的译码器有2-4译码器(74LS139)——2个输入变量控制4个输出端,3-8译码器(74LS148)——3个输入变量控制8个输出端,4-16译码器(74LS154)——4个输入变量控制16个输出端。 74LS138译码器得引脚图,逻辑图及功能表如下 74LS138的引脚图 用与非门组成的3线-8线译码器74LS138

3线-8线译码器74LS138的功能表 无论从逻辑图还是功能表我们都可以看到74LS138的八个输出引脚,任何时刻要么全为高电平1—芯片处于不工作状态,要么只有一个为低电平0,其余7个输出引脚全为高电平1。如果出现两个输出引脚同时为0的情况,说明该芯片已经损坏。 当附加控制门的输出为高电平(S=1)时,可由逻辑图写出 由上式可以看出,同时又是这三个变量的全部最小项的译码输出,所以也把这种译码器叫做最小项译码器。 71LS138有三个附加的控制端、和。当、时,输出为高电平(S=1),译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平,如表3.3.5所示。这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能。

带控制输入端的译码器又是一个完整的数据分配器。在图3.3.8电路中如果把作为“数 据”输入端(同时),而将作为“地址”输入端,那么从送来的数据只能 通过所指定的一根输出线送出去。这就不难理解为什么把叫做地址输入了。例 如当=101时,门的输入端除了接至输出端的一个以外全是高电平,因此的数 据以反码的形式从输出,而不会被送到其他任何一个输出端上。 【例3.3.2】试用两片3线-8线译码器74LS138组成4线-16线译码器,将输入的4位 二进制代码译成16个独立的低电平信号。 解:由图3.3.8可见,74LS138仅有3个地址输入端。如果想对4位二进制代码, 只能利用一个附加控制端(当中的一个)作为第四个地址输入端。 取第(1)片74LS138的和作为它的第四个地址输入端(同时令),取第(2)片的 作为它的第四个地址输入端(同时令),取两片的、、, 并将第(1)片的和接至,将第(2)片的接至,如图3.3.9所示,于是得到两片74LS138的输出分别为

数字电路——三人表决器

数电设计实验报告 三 人 表 决 器

[设计题目] 三人表决器的设计与装配 [设计要求] 1.熟悉各元件的性能和设置元件的参数。 2.对电路图的原理进行分析,并对原理图进行改良,弄清楚电路的工作原理。 3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。 焊接元件要注意焊点的圆润。 4. 对元件的性能进行评估和替换、用性能和使用范围更 好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5 . 学习数字逻辑电路的设计方法。 6. 熟知74LS138和74LS20芯片各引脚的功能及内部结构。 7. 学会使用74LS138和74LS20芯片。 8. 学会真值表与逻辑表达式及卡诺图之间的转换,能根 据化简后的逻辑表达式画出逻辑电路。 [电路介绍] 三人表决器主要由一个3-8位译码器(74LS138)和2个4输入与非门(74LS20)组成。通过三个按钮接受用户

输入。按钮按下表示同意,不按下表是否决,当没有人按下按钮时,或只有一个人按下按钮时,例如,S1按下,而S2和S0未按下,则红灯亮,绿灯灭,蜂鸣器无声音,表示否决,当有两个人及以上的人按下按钮后,例如,S1和S2按下,则红灯灭,绿灯亮,蜂鸣器发音,表示通过。 [电路原理] [元器件清单]

[电路真值表] 注(1表示同意、灯亮) (0表示不同意、灯不亮)

[PC板及实物图]

[电路的调试:] 电路的结果分析:在焊接是尽可能避免线路的交叉,不要把焊电焊的过大,相邻焊盘绝缘的一定不能连接在一起。 [设计心得体会] 通过这次实验,我从中收获了很多,懂得了对电路的进一步分析,也从而巩固和提高电路的设计能力、元件的选择及检测的能力等,同时也对我们所学的知识的掌握,通过本次实验我学会了逻辑表达式图之间的转换,通过检测电路使我们对电路有了更深的了解. [参考资料]: [1]阎石主编,数字电子技术基础(第五版),高等教育出版社.2005

三人表决器电路设计与装调

项目1三人表决器电路设计与装调 项目要求 在理解各种逻辑关系,掌握门电路的逻辑功能和外部特性的基础上,应用相关集成门电路完成三人表决器的电路设计与装调。 项目目标 熟悉逻辑函数的表示方法与化简方法 理解晶体管的开关特性 了解TTL门电路的内部机构和工作原理 掌握TTL门电路的基本使用方法 了解TTL工作门电路的基本使用方法 了解TTL电路和CMOS电路的基本使用方 掌握逻辑门电路的应用 项目介绍 本项目为三人表决器电路,用集成门电路构成简易型四人抢答器。A、B、C、D为抢答操作按钮开关。任何一个人先将某一开关按下且保持闭合状态,则与其对应的发光二极管(指示灯)被点亮,表示此人抢答成功;而紧随其后的其他开关再被按下,与其对应的发光二极管则不亮。 简单抢答器电路图如图1.1所示。 专题一数制和码制 了解数的进制概念,掌握二进制、八进制、十六进制、十进制的表示方法 掌握二进制与十进制、八进制、十六进制的项目转化 了解码制的概念,掌握几种常见的码制表示方法,并能熟悉运用。

1.1.1数制 主题目标 1、十进制 十进制数是人们熟悉的数制,有0---9是个数制符,它是逢十进位,各位的权是10的幂。例如,2315这个数可以写成 2315=2*102 +3*102+1*101+5*100 任意一个十进制的数可以记作(N)10=∑Ki*10i 2、二进制 二级制数中只有0和1两个数字符号,它是逢二进位,各位的权是2的幂。例如(100101)2 =1*25+0*24+0*23+1*22+0*21+1*20 N位二进制整数可以表达成 (N)2=∑Ki*2i 3、八进制和十六进制 (1)八进制八进制中只有0-7八个数字符号,它逢八进位,各位的权是八的幂。例如(1207)8=1*83+2*82+0*81+7*80 N位八进制正整数的表达式可以写成 (N)8=∑Ki*8i (2)十六进制十六进制有0-9、A、B、C、D、E、F、十六个数字,其中10-15分别用A-F 表示,逢十六进位,各位的权是16的幂。例如 (2C7F)16 =2*163+12*162+7*161+15*160 N位十六进制数的表达式可以写成 (N)16=∑Ki*16i 十六进制可以用字母“H”来表示,例如(2C7F)16 = (2C7F)H 4、不同数制之间的转换 (1)任意进制转换成十进制,通过前面的介绍,分别按公式展开,就是二进制、八进制、十六进制转化成十六进制的结果。 (100101)2 =1*25+0*24+0*23+1*22+0*21+1*20 (1207)8=1*83+2*82+0*81+7*80 (2C7F)16 =2*163+12*162+7*161+15*160 (2)十进制转换成二进制十进制转换成二进制的方法中整数转换和小数转换不同。 将十进制整数转换成二进制数的方法是:连续除以2,直到商为0,每次所得的余数从后向前排列即为转换后的二进制数整数部分,这种方法简称“除2取余法”。按此方法,可用竖式除法表示出上述转换过程。例如,将(302)10转换成二进制的竖式为 302/2 = 151 余0 151/2 = 75 余1

相关文档
最新文档