差分信号详解

差分信号详解
差分信号详解

差分信号(Differential Signal)

(转自EDN,对差分信号理解得比较的文章,供大家参考)

差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在PCB 设计中又如何能保证其良好的性能呢?

带着这两个问题,我们进行下一部分的讨论。何为差分信号?通俗地说,就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。

差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面:

a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可以被完全抵消。

b.能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。

c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。

对于PCB 工程师来说,最关注的还是如何确保在实际走线中能完全发挥差分走线的这些优势。也许只要是接触过Layout 的人都会了解差分走线的一般要求,那就是“等长、等距”。等长是为了保证两个差分信号时刻保持相反极性,减少共模分量;等距则主要是为了保证两者差分阻抗一致,减少反射。“尽量靠近原则”有时候也是差分走线的要求之一。但所有这些规则都不是用来生搬硬套的,不少工程师似乎还不了解高速差分信号传输的本质。下面重点讨论一下PCB 差分信号设计中几个常见的误区。

误区一:认为差分信号不需要地平面作为回流路径,或者认为差分走线彼此为对方提供回流途径。造成这种误区的原因是被表面现象迷惑,或者对高速信号传输的机理认识还不够深入。差分电路对于类似地弹以及其它可能存在于电源和地平面上的噪音信号是不敏感的。地平面的部分回流抵消并不代表差分电路就不以参考平面作为信号返回路径,其实在信号回流分析上,差分走线和普通的单端走线的机理是一致的,即高频信号总是沿着电感最小的回路进行回流,最大的区别在于差分线除了有对地的耦合之外,还存在相互之间的耦合,哪一种耦合强,那一种就成为主要的回流通路.在PCB 电路设计中,一般差分走线之间的耦合较小,往往只占10~20%的耦合度,更多的还是对地的耦合,所以差分走线的主要回流路径还是存在于地平面。当地平面发生不连续的时候,无参考平面的区域,差分走线之间的耦合才会提供主要的回流通路,尽管参考平面的不连续对差分走线的影响没有对普通的单端走线来的严重,但还是会降低差分信号的质量,增加EMI,要尽量避免。也有些设计人员认为,可以去掉差分走线下方的参考平面,以抑制差分传输中的部分共模信号,但从理论上看这种做法是不可取的,阻抗如何控制?不给共模信号提供地阻抗回路,势必会造成EMI

辐射,这种做法弊大于利。

误区二:认为保持等间距比匹配线长更重要。在实际的PCB 布线中,往往不能同时满足差分设计的要求。由于管脚分布,过孔,以及走线空间等因素存在,必须通过适当的绕线才能达到线长匹配的目的,但带来的结果必然是差分对的部分区域无法平行.PCB 差分走线的设计中最重要的规则就是匹配线长,其它的规则都可以根据设计要求和实际应用进行灵活处理。

误区三:认为差分走线一定要靠的很近。让差分走线靠近无非是为了增强他们的耦合,既可以提高对噪声的免疫力,还能充分利用磁场的相反极性来抵消对外界的电磁干扰。虽说这种做法在大多数情况下是非常有利的,但不是绝对的,如果能保证让它们得到充分的屏蔽,不受外界干扰,那么我们也就不需要再让通过彼此的强耦合达到抗干扰和抑制EMI 的目的了。如何才能保证差分走线具有良好的隔离和屏蔽呢?增大与其它信号走线的间距是最基本的途径之一,电磁场能量是随着距离呈平方关系递减的,一般线间距超过 4 倍线宽时,它们之间的干扰就极其微弱了,基本可以忽略。此外,通过地平面的隔离也可以起到很好的屏蔽作用,这种结构在高频的(10G 以上)IC 封装PCB 设计中经常会用采用,被称为CPW 结构,可以保证严格的差分阻抗控制(2Z0).

差分走线也可以走在不同的信号层中,但一般不建议这种走法,因为不同的层产生的诸如阻抗、过孔的差别会破坏差模传输的效果,引入共模噪声。此外,如果相邻两层耦合不够紧密的话,会降低差分走线抵抗噪声的能力,但如果能保持和周围走线适当的间距,串扰就不是个问题。在一般频率(GHz 以下),EMI 也不会是很严重的问题,实验表明,相距500Mils 的差分走线,在3 米之外的辐射能量衰减已经达到60dB,足以满足FCC 的电磁辐射标准,所以设计者根本不用过分担心差分线耦合不够而造成电磁不兼容问题。

随着近几年对速率的要求快速提高,新的总线协议不断的提出更高的速率。传统的总线协议已经不能够满足要求了。串行总线由于更好的抗干扰性,和更少的信号线,更高的速率获得了众多设计者的青睐。而串行总线又尤以差分信号的方式为最多。所以在这篇中整理了些有关差分信号线的设计和大家探讨下。

1.差分信号线的原理和优缺点

差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在PCB 设计中又如何能保证其良好的性能呢?带着这两个问题,我们进行下一部分的讨论。何为差分信号?通俗地说,就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。

差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面:

a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可以被完全抵消。

b.能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,如图在A-A‘的电流是从右到左,那B-B‘的是从左到右,那么按右手螺旋定则,那他们的磁力线是互相抵消的。耦合的越紧密,互相抵消的磁力线就越多。泄放到外界的电磁能量越少。

c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。

2.差分信号的一个实例:LVDS

LVDS(Low Voltage Differential Signaling)是一种低摆幅的电流型差分信号技术,它使得信号能在差分PCB线对或平衡电缆上以几百Mbps的速率传输,其低压幅和低电流驱动输出实现了低噪声和低功耗。LVDS驱动器由一个驱动差分线对的电流源组成?通常电流为3.5mA),LVDS接收器具有很高的输入阻抗,因此驱动器输出的电流大部分都流过100Ω?的匹配电阻,并在接收器的输入端产生大约350mA 的电压。当驱动器翻转时,它改变流经电阻的电流方向,因此产生有效的逻辑″1″和逻辑″0″状态。低摆幅驱动信号实现了高速操作并减小了功率消耗,差分信号提供了适当噪声边缘和功率消耗大幅减少的低压摆幅。功率的大幅降低允许在单个集成电路上集成多个接口驱动器和接收器。这提高了PCB板的效能,减少了成本。

不管使用的LVDS传输媒质是PCB线对还是电缆,都必须采取措施防止信号在媒质终端发生反射,同时减少电磁干扰。LVDS要求使用一个与媒质相匹配的终端电阻(100±20Ω),该电阻终止了环流信号,应该将它尽可能靠近接收器输入端放置。LVDS驱动器能以超过155.5Mbps的速度驱动双绞线对,距离超过10m。对速度的实际限制是:

①送到驱动器的TTL数据的速度;

②媒质的带宽性能。

通常在驱动器侧使用复用器、在接收器侧使用解复用器来实现多个TTL信道和一个LVDS 信道的复用转换,以提高信号速率,降低功耗。并减少传输媒质和接口数,降低设备复杂性。

LVDS接收器可以承受至少±1V的驱动器与接收器之间的地的电压变化。由于LVDS驱动器典型的偏置电压为+1.2V,地的电压变化、驱动器偏置电压以及轻度耦合到的噪声之和,在接收器的输入端相对于接收器的地是共模电压。这个共模范围是:+0.2V~+2.2V。建议接收器的输入电压范围为:0V~+2.4V。

3.差分信号的布线要求:

对于PCB 工程师来说,最关注的还是如何确保在实际走线中能完全发挥差分走线的这些优势。也许只要是接触过Layout 的人都会了解差分走线的一般要求,即差分对的布线有两点要注意,一是两条线的长度要尽量一样长,等长是为了保证两个差分信号时刻保持相反极性,减少共模分量。另一是两线的间距(此间距由差分阻抗决定)要一直保持不变,也就是要保持平行。平行的方式有两种,一为两条线走在同一走线层(side-by-side),一为两条线走在上下相邻两层(over-under)。一般以前者side-by-side 实现的方式较多。

等距则主要是为了保证两者差分阻抗一致,减少反射。对差分对的布线方式应该要适当的靠近且平行。所谓适当的靠近是因为这间距会影响到差分阻抗(differential impedance)的值, 此值是设计差分对的重要参数。需要平行也是因为要保持差分阻抗的一致性。若两线忽远忽近, 差分阻抗就会不一致, 就会影响信号完整性(signal integrity)及时间延迟(timing delay)。

下面是差分传输线模型

为便于分析,差分线对常常根据它的奇模和偶模阻抗和延迟来描述,而这些与其差模和共模对应的部分是密切相关的,因此可以用方程1 来计算。

这儿Ctot = Cself + Cm 。Cself 是一条线与地之间的电容,而Cm 是两条线之间的电容。Lself 和Lm 分别是一条线的自电感,和两条线之间的互电感。

差分阻抗被定义为在两条差分驱动的导线之间所测得的阻抗。(所谓差分驱动就是指当两个完全一样,但极性相反的信号)。差分阻抗是对着奇模阻抗而言的,所谓奇模阻抗是指当两条导线被差分驱动[3]时,在差分线对中一条传输导线的阻抗。偶模阻抗是指当两条导线都被一个单一的对地共模信号驱动时,在差分线对中两条导线的阻抗。

利用方程1,可以推得:

差分阻抗

共模阻抗

但所有这些规则都不是用来生搬硬套的,不少工程师似乎还不了解高速差分信号传输的本质。下面重点讨论一下PCB 差分信号设计中几个常见的误区。

误区一:认为差分信号不需要地平面作为回流路径,或者认为差分走线彼此为对方提供回流

途径。造成这种误区的原因是被表面现象迷惑,或者对高速信号传输的机理认识还不够深入。虽然差分电路对于类似地弹以及其它可能存在于电源和地平面上的噪音信号是不敏感的。地平面的部分回流抵消并不代表差分电路就不以参考平面作为信号返回路径,其实在信号回流分析上,差分走线和普通的单端走线的机理是一致的,即高频信号总是沿着电感最小的回路进行回流,最大的区别在于差分线除了有对地的耦合之外,还存在相互之间的耦合,哪一种耦合强,那一种就成为主要的回流通路。

在PCB 电路设计中,一般差分走线之间的耦合较小,往往只占10~20%的耦合度,更多的还是对地的耦合,所以差分走线的主要回流路径还是存在于地平面。当地平面发生不连续的时候,无参考平面的区域,差分走线之间的耦合才会提供主要的回流通路。尽管参考平面的不连续对差分走线的影响没有对普通的单端走线来的严重,但还是会降低差分信号的质量,增加EMI,要尽量避免。也有些设计人员认为,可以去掉差分走线下方的参考平面,以抑制差分传输中的部分共模信号,但从理论上看这种做法是不可取的,阻抗如何控制?不给共模信号提供地阻抗回路,势必会造成EMI 辐射,这种做法弊大于利。

所以要保持PCB地线层返回路径宽而短。尽量不要跨岛(跨过相邻电源或地层的分隔区域。)比如主板设计中的USB和SATA及PCI-EXPRESS等最好不要有跨岛的做法。保证这些信号的下面是个完整地平面或电源平面。

误区二:认为保持等间距比匹配线长更重要。在实际的PCB 布线中,往往不能同时满足差分设计的要求。由于管脚分布,过孔,以及走线空间等因素存在,必须通过适当的绕线才能达到线长匹配的目的,但带来的结果必然是差分对的部分区域无法平行,其实间距不等造成的影响是微乎其微的,相比较而言,线长不匹配对时序的影响要大得多。再从理论分析来看,间距不一致虽然会导致差分阻抗发生变化,但因为差分对之间的耦合本身就不显著,所以阻抗变化范围也是很小的,通常在10%以内,只相当于一个过孔造成的反射,这对信号传输不会造成明显的影响。而线长一旦不匹配,除了时序上会发生偏移,还给差分信号中引入了共模的成分,降低信号的质量,增加了EMI。

可以这么说,PCB 差分走线的设计中最重要的规则就是匹配线长,其它的规则都可以根据设计要求和实际应用进行灵活处理。同时为了弥补阻抗的匹配可以采用接收端差分线对之间加一匹配电阻。其值应等于差分阻抗的值。这样信号品质会好些。

所以建议如下两点:

(A)使用终端电阻实现对差分传输线的最大匹配,阻值一般在90~130Ω之间,系统也需要此终端电阻来产生正常工作的差分电压;

(B)最好使用精度1~2%的表面贴电阻跨接在差分线上,必要时也可使用两个阻值各为50Ω的电阻,并在中间通过一个电容接地,以滤去共模噪声。

通常对于差分信号的CLOCK等要求等长的匹配要求是+/-10mils之内。

误区三:认为差分走线一定要靠的很近。让差分走线靠近无非是为了增强他们的耦合,既可以提高对噪声的免疫力,还能充分利用磁场的相反极性来抵消对外界的电磁干扰。虽说这种

做法在大多数情况下是非常有利的,但不是绝对的,如果能保证让它们得到充分的屏蔽,不受外界干扰,那么我们也就不需要再让通过彼此的强耦合达到抗干扰和抑制EMI 的目的了。如何才能保证差分走线具有良好的隔离和屏蔽呢?增大与其它信号走线的间距是最基本的途径之一,电磁场能量是随着距离呈平方关系递减的,一般线间距超过4 倍线宽时,它们之间的干扰就极其微弱了,基本可以忽略。此外,通过地平面的隔离也可以起到很好的屏蔽作用,这种结构在高频的(10G 以上)IC 封装PCB 设计中经常会用采用,被称为CPW 结构,可以保证严格的差分阻抗控制(2Z0)。

差分走线也可以走在不同的信号层中,但一般不建议这种走法,因为不同的层产生的诸如阻抗、过孔的差别会破坏差模传输的效果,引入共模噪声。此外,如果相邻两层耦合不够紧密的话,会降低差分走线抵抗噪声的能力,但如果能保持和周围走线适当的间距,串扰就不是个问题。在一般频率(GHz 以下),EMI也不会是很严重的问题,实验表明,相距500Mils 的差分走线,在3 米之外的辐射能量衰减已经达到60dB,足以满足FCC 的电磁辐射标准,所以设计者根本不用过分担心差分线耦合不够而造成电磁不兼容问题。

4,眼图

在差分信号的测试当中我们经常要遇到一个测试项目是眼图,也有很多设计初学者都或许听过眼图这个测试。但还是有很多不知道眼图到底是怎么来的。学会看眼图对于自己的测试和DEBUG是非常有用的。下面介绍下眼图。

在每一个时钟周期内都会有信号在传输。但是如果是一个很长的位流(bits),那么很难确定这个信号是否符合规范(specifications)。为了便于分析,那么所有的信号位都可以组成一个信号图形的话,那么就可以看这个把这些图形叠加起来看看是否符合规范。这就是眼图。

如下图,假设所有的信号都是在时钟的上升沿触发。那么把所有数据信号的波形都按上升沿取出来并叠加在一起。每一个这样的波形就叫一个SYMPLE。如图所示的那样(图上只取出一个波形来,以便读者能看清楚),这样就形成了眼图的前半截。接着按下降沿取出并叠加在一起,那么就可以形成眼图的后半截。同时为高电平或低电平的信号波形组成眼图的上面和下面。这样就形成了一个标准的眼图(如下图)。然后所要做的是按信号规范在眼图里定义进去就可以了。

当然下图是把CLK也表示出来了,实际串行的差分信号是不能在信号线上测到CLOCK的。

下面举个实例看下,从眼图上看,这信号质量是非常差的。那相对应它的SYMPLE也是可以看得到是很差的信号质量,上升沿和下降沿太缓,一致性太差,信号的HIGH LEVEL也不够,SKEW太大等。

5.差分信号的测量。

输入连接一般来说,差分放大器或探头与信号源的互连是产生误差的最大来源。为了维持输入的匹配,两个通道应尽可能一样。两个输入端的任何接线的都应长度相同。如果使用探头,其型号与长度也应相同。在测量高共模电压的低频信号时,应避免使用带衰减的探头。在高增益时则完全不能使用这种探头,因为不可能精地平衡它们的衰减量。当高电压或高频率的应用需要衰减时,应使用为差分放大器专门设计的专用无源探头。这种探头具有能精密调整直流衰减和交流补偿的装置。为获得最佳的性能,每一个特定的放大器都应专用一套探头,而且要根据这套探头附带的程序针对该放大器进行校准。

一种常用的方法是将+ 和- 输入缆线成对绞扭在一起。这样可减少拾取线路频率干扰和其他噪声的可能。如果要抓取眼图的话要跟仪器厂家获得咨询,以获得最新的软件和夹具。一般这套软件和夹具是要另外收费的。

参考文献:

Digital Signal Integrity-Modeling and Simulation with Interconnects and Package. Brian

Young

PCB Layout 中的走线策略阿鸣

LVDS技术原理和设计简介张健吴晓冰

另外感谢所引用作者(有的是没有找到文章具体出处的作者)提供的好文章。

差分对的约束设置 第一步,差分对的设置 差分对的设置有很多方法,下面介绍两种最常用的方法。 1.点击菜单Logic→Assign Differential Pair... 弹出以下对话框。 点击你想要创建差分对的Net1和Net2,填入差分的名字,点击Add后就成功创建了差分对。 点击Auto Generate按钮后,弹出以下对话框:

在第一个输入框填入Net的主要名字后,在下面的框中填入差分线的标志如N,P。点击Generate即可自动产生差分对。 2.在约束管理器中设置差分对。 在DSN上点击右键,在菜单中选择Create→Differential Pair。即可弹出下面的对话框。

和上一种方法的设置差不多,这里就不再叙述了。 第二步差分对约束规则的设置 差分对各项约束可以在约束管理器中的 Electric→Net→routing→Differential Pair中直接在各差分对上填入各项约束数值就可生效,但更好的方法是创建约束规则后赋给各个差分对。 在DSN上点击右键,在菜单中选择Create→Electrical CSet后,弹出下面的对话框; 输入规则名后点Ok,在Electric→constraimt set→outing→Differential Pair中可以看到新规则。 在表格中输入各项数值即可完成新规则的设置。如图所示 差分对约束参数主要有以下几个:

1coupling paramaters 主要包括了 Primary Gap 差分对最优先线间距(边到边间距)。 Primary Width 差分对最优先线宽。 Neck Gap 差分对Neck模式下的线间距(边到边间距),用于差分对走线在布线密集区域时切换到Neck值。 Neck Width差分对Neck模式下的线宽,用于差分对走线在布线密集区域时切换到Neck值。如图所示 设置数值时在表格中右键菜单中选择change,会出现以下各层数值表格,可以在每一层上设置不同的数值。 需要注意的是在物理(physical)约束中同样可以设置差分规则,但是电气规则约束在布线时更优先,同时电气规则可以设置更多的约束,推荐在电气规则中设置差分走线的约束。 2 Min Line Specing 差分对最小间距,一定要小于或等于"Primary gap"与(-)tolerance的数值,并且也要小于或等于"Neck gap"与(-)tolerance的数值。对于不符合约束的差分对,会显示“DS”的DRC错误提示。

差分信号(上) 我们中的大部分都能直观地理解信号是如何沿导线或走线传播的,即便我们也许对这种连接方式的名称并不熟悉——单端模式。术语“单端”模式将这种方式同至少其它两种信号传播模式区分开来:差模和共模。后面两种常常看起来更加复杂。 差模 差模信号沿一对走线传播。其中一根走线传送我们通常所理解的信号,另一根传送一个严格大小相等且极性相反(至少理论上如此)的信号。差分与单端 模式并不像它们乍看上去那样有很大的不同。记住,所有信号都有回路。一般地,单端信号从一个零电位,或地,电路返回。差分信号的每一分支都将从地电路返 回,除非因为每个信号都大小相 等且极性相反以至于返回电流完全抵消了(它们中没有任何一部分出现 在零电位或地电路上)。 尽管我不打算在专栏中就这个问题花太多时间,共模是指同时在一个(差分)信号的线对或者在单端走线和地上出现的信号。对我们来说这并不容易直观 地去理解,因为我们很难想象怎样才能产生这样的信号。相反通常我们不会产生共模信号。通常这些都是由电路的寄生环境或者从邻近的外部源耦合进电路产生的。 共模信号总是很“糟糕”,许多设计规则就是用来防止它们的发生。 差分走线 尽管看起来这样的顺序不是很好,我要在叙述使用差分走线的优点之前首先来讲述差分信号的布线规则。这样当我讨论(下面)这些优点时,就可以解释这些相关的规则是如何来支持这些优点的。 大部分时候(也有例外)差分信号也是高速信号。这样,高速设计规则通常也是适用的,尤其是关于设计走线使之看起来像是传输线的情况 。这意味着我们必须仔细地进行设计和布线,如此,走线的特征阻抗在沿线才能保持不变。 在差分对布线时,我们期望每根走线都与其配对走线完全一致。也就是说,在最大的可实现范围内,差分对中每根走线应该具有一致的阻抗与一致的长度。差分走线通常以线对的方式进行布线,线对的间距沿线处处保持不变。通常地,我们尽可能将差分对靠近布线。 差分信号的优点

1)pair 名称: Allegro菜单点击logic-->Assign differential pair,在net filter 中选择所要设的net1,net2, 或直接在board file 中点选net,在Rule Name 中key 入pair 名称﹐点右下方的Add 后会自动增加到上方的Rule Selection Area 中﹐可以点Modify或Delete 来修改或删除所设的pair. 2)设置差分线规则类型 给pair 定义一个net spacing type property(规则类型),如CLK-CLK:点Attach property, net...,注意find 窗口中选property 而非net,再点more...,从左边选取先前设的pair,如CK0R-CK0R,点apply﹐在弹出的对话框中点net_spacing_type﹐在右边的value 值中输入CLK-CLK。 3)设置差分线规则参数 set net spacing constrains values , 设定走线线距规则参数值:点constraints 窗口的spacing rule set 下的set values,在出现的对话框中右边空格输入CLK-CLK, 点add 增加到constraint set name 栏。然后按guideline 设定各项spacing.ˉ line to line 指的是此对pair 和其它线的间距。 注1: Length Tolerance indicates the amount of tolerance allowed between the total length or delay of the two nets. (两net 之间的误差范围) 注2:Primary Max Sep indicates the maximum edge to edge spacing between a differential pair. (指该pair 本身的间距) 注3:Secondary Max Sep indicates an edge to edge spacing that is greater that the Primary Max Sep value. This allows an increase in thespacing between the differential pair when necessary. The total amount of etch/conductor on a net can not exceed this amount.(必要时允许增大该pair 本身的间距到此值) 4)布线技巧 route differential pair 时的技巧:routing 时发现本身的两根net 没有按规则挤线会弹的很开。原因可能是设rule 时﹐选的不是property,而是net 。如果选的是property 仍然不行﹐可以在setup> user preferences>drc>drc_diff_pair_overlide 中添加0。

SerDes知识详解 一、SerDes的作用 1.1并行总线接口 在SerDes流行之前,芯片之间的互联通过系统同步或者源同步的并行接口传输数据,图1.1演示了系统和源同步并行接口。 随着接口频率的提高,在系统同步接口方式中,有几个因素限制了有效数据窗口宽度的继续增加。 ?时钟到达两个芯片的传播延时不相等(clock skew) ?并行数据各个bit的传播延时不相等(data skew) ?时钟的传播延时和数据的传播延时不一致(skew between data and clock) 虽然可以通过在目的芯片(chip #2)内用PLL补偿时钟延时差(clock skew),但是PVT变化时,时钟延时的变化量和数据延时的变化量是不一样的。这又进一步恶化了数据窗口。 源同步接口方式中,发送侧Tx把时钟伴随数据一起发送出去, 限制了clock skew对有效数据窗口的危害。通常在发送侧芯片内部,源同步接口把时钟信号和数据信号作一样的处理,

也就是让它和数据信号经过相同的路径,保持相同的延时。这样PVT变化时,时钟和数据会朝着同一个方向增大或者减小相同的量,对skew最有利。 我们来做一些合理的典型假设,假设一个32bit数据的并行总线, a)发送端的数据skew = 50 ps ---很高的要求 b)pcb走线引入的skew = 50ps ---很高的要求 c)时钟的周期抖动jitter = +/-50 ps ---很高的要求 d)接收端触发器采样窗口= 250 ps ---Xilinx V7高端器件的IO触发器 可以大致估计出并行接口的最高时钟= 1/(50+50+100+250) = 2.2GHz (DDR)或者1.1GHz (SDR)。 利用源同步接口,数据的有效窗口可以提高很多。通常频率都在1GHz以下。在实际应用中可以见到如SPI4.2接口的时钟可以高达DDR 700MHz x 16bits位宽。DDR Memory接口也算一种源同步接口,如DDR3在FPGA中可以做到大约800MHz的时钟。 要提高接口的传输带宽有两种方式,一种是提高时钟频率,一种是加大数据位宽。那么是不是可以无限制的增加数据的位宽呢?这就要牵涉到另外一个非常重要的问题-----同步开关噪声(SSN)。 这里不讨论SSN的原理,直接给出SSN的公式:SSN = L *N* di/dt。 L是芯片封装电感,N是数据宽度,di/dt是电流变化的斜率。 随着频率的提高,数据位款的增加,SSN成为提高传输带宽的主要瓶颈。图1.2是一个DDR3串扰的例子。图中低电平的理论值在0V,由于SSN的影响,低电平表现为震荡,震荡噪声的最大值达610mV,因此噪声余量只有1.5V/2-610mV=140mV。

【电路精选】单端至差分驱动器电路分析 模数转换器即A/D 转换器,或简称ADC,通常是指一个将模拟信号 转变为数字信号的电子元件。通常的模数转换器是将一个输入电压信号转换为 一个输出的数字信号。本文主要给大家介绍单端至差分驱动器电路分析。 由于数字信号本身不具有实际意义,仅仅表示一个相对大小。故任何一 个模数转换器都需要一个参考模拟量作为转换的标准,比较常见的参考标准为 最大的可转换信号大小。而输出的数字量则表示输入信号相对于参考信号的大小。 LTC2387-18 转换器电路LTC2387-18 是一款具有差分输入的15Msps、高度线性、低噪声SAR 转换器。该ADC 兼具卓越的线性和宽动态范围,因而成为了高速成像和仪表应用的理想选择。无延迟操作提供了一种面向高速控 制环路应用的独特解决方案。高输入频率下的非常低失真可实现需要宽动态范 围和大信号带宽的通信应用。 在大多数场合中,通过采用一个差分输入、差分输出放大器驱动ADC 输入来优化性能。在仅可提供一个单端信号的场合中,需要采用高性能运放以 把一个单端信号转换为一个适用于LTC2387-18 的差分信号。 在上面的电路中,使用了两个运放,一个用于同相通路,另一个用于反 相通路。同相运放是一个电压跟随器,它的前面是一个RC 低通滤波器。该滤波器可阻止非常高频率信号到达LT6201,它能够在几十MHz 的频率下运作。反相运放由一个相同的网络驱动。为了使信号反相,R5 和R7 设定为590Ω。这些电阻值反映了运放输出电流、输入失调电流和噪声产生量 之间的折衷。选定的电阻值加上4V 峰至峰输出电压摆幅导致从运放输出吸收

PCB三种特殊布线分享及检查方法详解 手术很重要,术后恢复也必不可少!各种PCB布线完成之后,就ok了吗?很显然,不是!PCB布线后检查工作也很必须,那么如何对PCB设计中布线进行检查,为后来的PCB设计、电路设计铺好路呢?本文会从PCB设计中的各种特性来教你如何完成PCB布线后的检查工作,做好最后的把关工作! 在讲解PCB布线完成后的检查工作之前,先为大家介绍三种PCB的特殊走线技巧。将从直角走线,差分走线,蛇形线三个方面来阐述PCB LAYOUT的走线: 一、直角走线(三个方面) 直角走线的对信号的影响就是主要体现在三个方面:一是拐角可以等效为传输线上的容性负载,减缓上升时间;二是阻抗不连续会造成信号的反射;三是直角尖端产生的EMI,到10GHz以上的RF设计领域,这些小小的直角都可能成为高速问题的重点对象。 二、差分走线(等长、等距、参考平面) 何为差分信号(DifferenTIal Signal)?通俗地说就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态0还是1。而承载差分信号的那一对走线就称为差分走线。差分信号和普通的单端信号走线相比,最明显的优势体现在以下三方面: 1、抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可被完全抵消。 2、能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。 3、时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differenTIal signaling)就是指这种小振幅差分信号技术。

差分信号与单端信号 一、基本区别 不说理论上的定义,说实际的单端信号指的是用一个线传输的信号,一根线没参考点怎么会有信号呢? easy,参考点就是地啊。也就是说,单端信号是在一跟导线上传输的与地之间的电平差那么当你把信号从A点传递到B点的时候,有一个前提就是A点和B点的地电势应该差不多是一样的,为啥说差不多呢,后面再详细说。差分信号指的是用两根线传输的信号,传输的是两根信号之间的电平差。当你把信号从A点传递到B点的时候,A点和B点的地电势可以一样也可以不一样但是A点和B点的地电势差有一个范围,超过这个范围就会出问题了。 二、传输上的差别 单端信号的优点是,省钱~方便~大部分的低频电平信号都是使用单端信号进行传输的。一个信号一根线,最后把两边的地用一根线一连,完事。缺点在不同应用领域暴露的不一样归结起来,最主要的一个方面就是,抗干扰能力差。首先说最大的一个问题,地电势差以及地一致性。大家都认为地是0V,实际上,真正的应用中地是千奇百怪变化莫测的一个东西我想我会专门写一些地方面的趣事。比如A点到B点之间,有那么一根线,用来连接两个系统之间的地那么如果这根线上的电流很大时,两点间的地电势可能就不可忽略了,这样一个信号从A的角度看起来是1V,从B的角度看起来可能只有0.8V了,这可不是一个什么好事情。这就是地电势差对单端信号的影响。接着说地一致性。实际上很多时候这个地上由于电流忽大忽小,布局结构远远近近地上会产生一定的电压波动,这也会影响单端信号的质量。差分信号在这一点有优势,由于两个信号都是相对于地的当地电势发生变化时,两个信号同时上下浮动(当然是理想状态下)差分两根线之间的电压差却很少发生变化,这样信号质量不久高了吗?其次就是传输过程中的干扰,当一根导线穿过某个线圈时,且这根线圈上通着交流电时,这根导线上会产生感应电动势~~好简单的道理,实际上工业现场遇到的大部分问题就是这么简单,可是你无法抗拒~如果是单端信号,产生多少,就是多少,这就是噪声你毫无办法。但是如果是差分信号,你就可以考虑拉,为啥呢,两根导线是平行传输的每根导线上产生的感应电动势不是一样吗,两个一减,他不久没了吗~确实,同样的情况下,传输距离较长时,差分信号具有更强的驱动能力、更强的抗干扰能力,同样的,当你传输的信号会对其他设备有干扰时,差分信号也比单端信号产生的信号相对小,也就是常说的EMI 特性。(EMI是Electro Magnetic Interference的缩写,即电磁干扰, 有传导干扰和辐射干扰两种。EMC是Electro magnetic compatibility的缩写,即电磁兼容性。意指设备所产生的电磁能量既不对其它设备产生干扰,也不受其他设备的电磁能量干扰的能力。) 三、使用时需要注意的 由于差分比单端有不少好处,在模拟信号传输中很多人愿意使用差分信号比如桥式应变片式力传感器,其输出信号满量程时有的也只有2mV 。如果使用单端信号传输,那么这个信号只要电源的纹波就能把他吃光。所以实际上,都是用仪表运方进行放大后,再进行处理。而仪表运方正是处理差分信号最有力的几个工具之一。但是,使用差分信号时,一定要注意一个问题,共模电压范围。也就是说,这两根线上的电压,相对于系统的地,还是不能太大。你传输0.1V的信号没问题,但是如果一根是1000.0 另外一根是1000.1,那就不好玩了问题在于,在很多场合下使用差分信号都是为了不让两个系统的地简单的共在一起更不能把差分信号中的一根直接接在本地系统的地上,那不白费尽吗?又成单端了,那么如何抑制共模电压呢?其实也挺简单的,将两根线都通过一个足够大的电阻,连接到系统的地上。这就像一

Allegro 16.3约束规则设置 约束管理器是一个交叉的平台,以工作簿和工作表的形式在 Cadence PCB设计流程中用于管理所有工具的高速电子约束。可以使用约束管理器和SigXplorer Expert 开发电路的拓扑并得出电子约束,可以包含定制约束、定制测量和定制激励。 所谓约束就是用户定义的限制条件,当在板上走线和放置元件时会遵守这些约束。电子约束(ECSets)就是限制PCB 上与电行为有关的对象,比如可以设置某个网络最大传输延迟为2ns。 以下图为一约束设置窗口。 一、说明 先解释一下约束的类型以及约束中用到的简写名词,如下图所示:

1、NCIs(NET CLASS) 由众多nets或者buses、differential pairs、Xnet所组成的类,可对其赋予相似的约束。如下图所示。 2、NCC(Net Class-Class) 一般用在约束组与组之间的间距的时候使用,如下图。 3、DPr(Differential Pairs)差分对 一组差分对一般由两条Xnet或者net以差分走线的方式组成,如下图。差分对的形成有两种方式:一是由模型指定的差分对,再者就是由用户自己定义的差分对。 ?模型定义的差分对:可以在器件信号模型中指定差分对,可以使用PCB Design,PCB SI,SigXplores 来将模型指定给相应的元件。 ?用户定义的差分对:可以在约束管理器中 Net 一级的对象中创建差分对,可以灵活的更改差分对命名和更改差分对成员,但是没有模型指定差分对的精确性。 以下是设置差分对规则时,需要赋予约束的项。

针对以上约束中用到的一些约束点进行解释说明:

差分信号与单端信号概述 差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面: a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可以被完全抵消。 b.能有效抑制EMI(电磁干扰),同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。 c. 时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。 1、共模电压和差模电压 我们需要的是整个有意义的“输入信号”,要把两个输入端看作“整体”。就像初中时平面坐标需要用 x,y 两个数表示,而到了高中或大学就只要用一个“数”v,但这个 v 是由 x,y 两个数构成的“向量”…… 而共模、差模正是“输入信号”整体的属性,差分输入可以表示为 vi = (vi+, vi-)也可以表示为vi = (vic, vid)。c 表示共模,d 表示差模。两种描述是完全等价的。只不过换了一个认识角度,就像几何学里的坐标变换,同一个点在不同坐标系中的坐标值不同,但始终是同一个点。 运放的共模输入范围:器件(运放、仪放……)保持正常放大功能(保持一定共模抑制比 CMRR)条件下允许的共模信号的范围。 显然,不存在“某一端”上的共模电压的问题。但“某一端”也一样存在输入电压范围问题。而且这个范围等于共模输入电压范围。 道理很简单:运放正常工作时两输入端是虚短的,单端输入电压范围与共模输入电压范围几乎是一回事。对其它放大器,共模输入电压跟单端输入电压范围就有区别了。例如对于仪放,差分输入不是 0,实际工作时的共模输入电压范围就要小于单端输入电压范围了。 可以通俗的理解为: 两只船静止在水面上,分别站着两个人,A和B。 A和B相互拉着手。当船上下波动时,A才能感觉到B变化的拉力。这两个船之间的高度差就是差模信号。当水位上升或者下降时,A并不能感觉到这个拉力。这两个船离水底的绝对高度就是共模信号。 于是,我们说A和B只对差模信号响应,而对共模信号不响应。当然,也有一定的共模范围了,太低会沉到水底,这样船都无法再波动了。太高,会使会水溢出而形成水流导致船没法在水面上停留。理论上,A 和B应该只是对差模有响应。 但实际上,由于船上下颠簸,A和B都晕了,明明只有共模,却产生了幻觉:似乎对方相对自己在动。这就说明,A和B内力较弱,共模抑制比不行啊。说笑了啊,不过大致也就是这个意思。 当然,差模电压也不可以太大,否则会导致把A和B拉开。

差分信号与单端信号(转) 一、基本区别 不说理论上的定义,说实际的 单端信号指的是用一个线传输的信号,一根线没参考点怎么会有信号呢?easy,参考点就是地啊。也就是说,单端信号是在一跟导线上传输的与地之间的电平差 那么当你把信号从A点传递到B点的时候,有一个前提就是A点和B点的地电势应该 差不多是一样的,为啥说差不多呢,后面再详细说。 差分信号指的是用两根线传输的信号,传输的是两根信号之间的电平差。 当你把信号从A点传递到B点的时候,A点和B点的地电势可以一样也可以不一样 但是A点和B点的地电势差有一个范围,超过这个范围就会出问题了。 二、传输上的差别 单端信号的优点是,省钱~方便~ 大部分的低频电平信号都是使用单端信号进行传输的。一个信号一根线,最后把两边的地用一根线一连,完事。 缺点在不同应用领域暴露的不一样 归结起来,最主要的一个方面就是,抗干扰能力差。 首先说最大的一个问题,地电势差以及地一致性。 大家都认为地是0V,实际上,真正的应用中地是千奇百怪变化莫测的一个东西 我想我会专门写一些地方面的趣事。 比如A点到B点之间,有那么一根线,用来连接两个系统之间的地 那么如果这根线上的电流很大时,两点间的地电势可能就不可忽略了,这样一个信号 从A的角度看起来是1V,从B的角度看起来可能只有0.8V了,这可不是一个什么好事情 这就是地电势差对单端信号的影响。 接着说地一致性。实际上很多时候这个地上由于电流忽大忽小,布局结构远远近近 地上会产生一定的电压波动,这也会影响单端信号的质量。 差分信号在这一点有优势,由于两个信号都是相对于地的 当地电势发生变化时,两个信号同时上下浮动(当然是理想状态下) 差分两根线之间的电压差却很少发生变化,这样信号质量不久高了吗? 其次就是传输过程中的干扰,当一根导线穿过某个线圈时,且这根线圈上通着交流电 时,这根导线上会产生感应电动势~~好简单的道理,实际上工业现场遇到的大部分 问题就是这么简单,可是你无法抗拒~ 如果是单端信号,产生多少,就是多少,这就是噪声你毫无办法。 但是如果是差分信号,你就可以考虑拉,为啥呢,两根导线是平行传输的 每根导线上产生的感应电动势不是一样吗,两个一减,他不久没了吗~ 确实,同样的情况下,传输距离较长时,差分信号具有更强的驱动能力、更强

伪差分: 伪差分信号连接方式减小了噪声,并允许在仪器放大器的共模电压范围内与浮动信号连接.在伪差分模式下,信号与输入的正端连接,信号的参考地与输入的负端连接。伪差分输入减小了信号源与设备的参考地电位(地环流)不同所造成的影响,这提高了测量的精度。伪差分输入与差分输入在减小地环流和噪声方面是非常相似的,不同的方面在于,差分输入模式下,负端输入是随时间变化的,而在伪差分模式下,负端输入一定仅仅是一个参考。描述伪差分的另外一种方式就是,输入仅仅在打破地的环流这个意义上是差分的,而参考信号(负端输入)不是作为传递信号的,而仅仅是为信号(正端输入)提供一个直流参考点。 全差分与单端输入: 在单端方式工作时;ADC转换的是单输入引脚对地的电压值;在增益为1时,测量的值就是输入的电压值;范围是0V到VREF;当增益增加时,输入的范围要相应的减小; 在差分方式工作时;ADC转换的是AIN+与AIN-两个引脚的差值;在增益为1时,测量的值等于(AIN+)-(AIN-),范围是-VREF到+VREF;当增益增加时,输入的范围要相应的减小。 注意:在差分方式时所提的负压是指AIN-引脚的电压大于AIN+引脚的电压,实际输入到两个引脚的电压对地都必需是正的;例如:如果AIN+引脚输入的电压为0V,AIN-引脚的输入电压为1/2VREF时,差分的输入电压为(0V-1/2VREF) = -1/2VREF。 ADI公司目前针对10KHz左右采样速率的24位ADC推荐AD719X系列的产品。AD779X属于老产品,老产品噪声较大。 对于单端输入,能测量双极性信号的ADC,内部原理为基准源分压方式,对于TI的MSP430F1161,基准源可提供正负方式。 对于ADuC845的AD输入配置,可以配置为4个全差分输入,或者8个伪差分输入,对于伪差分输入,AINCOM端为参考端。GAIN越大,ADC的有效分辨率越小,采样速率越高,有效分辨率也越小。 上图参数可得出,全差分的每个输入端口电压不能低于0V,也不能高于规定的电压值。 ADI 的工程师说对于单端输入的单电源供电的AD转换器,能采集双极性信号的是,ADC内部原理是通过分压方式,可以参考MAX197的数据手册。

?? ?????????????????????1. ???????.2.???????? 1??Logic=>Assign Differential Pair…

?1?????????? ?2????????????? ?3??Add,???????????????????????????????????OK? 2???PCB ?? Setup=>Constraints=>Electical…

????????Electrical?NET?

???? ????Objects->Create->Differential Pair??????? Create Differential Pair ???????

???? ????? Net ???????????? ???????????Selections ??Diff Pair Name ?????????Create ???Close ??????????????? ?? ???????????????????????Eelctrical ??Eelctrical Constraint Set->Routing->Differential Pair ??Objects=>Create=>Eelctrical CSet ????? & ????? ???( ???' ???2. ????

???? ?????? ' ?????? ???????????????? ? 8 ????????????????? ? 0 / 6????? ? 3 *??????? ? ? ? 3 :???????? ? 1 *?????1 ????? ? ???? ????????? * ?????? ? 1 :?????1 ????????? ???????? : ?????? ??????????????????( ???1 ! ???? ????????5 ? ?????? ?????

Doc Scope : Cadence Allegro 15.x Doc Number : SFTCA06001 Author :SOFER Create Date :2005-5-30 Rev :1.00

Allegro 15.x差分线布线规则设置 文档内容介绍: 1.文档背景 (3) 2.Differential Pair信号介绍 (3) 3.如何在Allegro中定义Differential Pair属性 (4) 4.怎样设定Differential Pair在不同层面控制不同线宽与间距 (8) 5.怎样设定Differential Pair对与对之间的间距 (11)

1.文档背景 a)差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,差分线 大多为电路中最关键的信号,差分线布线的好坏直接影响到PCB板子信号质量。 b)差分线一般都需要做阻抗控制,特别是要在多层板中做的各层的差分走线阻抗都 一样,这个一点要在设计时计算控制,否则仅让PCB板厂进行调整是非常麻烦的事情,很多情况板厂都没有办法调整到所需的阻抗。 c)Allegro版本升级为15.x后,差分线的规则设定与之前版本有很大的改变。虽然 Allegro15.0版本已经发布很长时间了,但是还是有很多人对新版本的差分线规则设置不是很清楚。 2.Differential Pair信号介绍 差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在PCB设计中又如何能保证其良好的性能呢?带着这两个问题,我们进行下一部分的讨论。何为差分信号?通俗地说,就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。 差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面: a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可以被完全抵消。 b.能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。 c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。 …… 由于篇幅问题,这里对差分信号不做深入介绍了。

差模电压与共模电压 我们需要的是整个有意义的“输入信号”,要把两个输入端看作“整体”。 就像平面坐标需要用 x,y 两个数表示,而到了高中或大学就只要用一个“数”v,但这个 v 是由 x,y 两个数构成的“向量”…… 而共模、差模正是“输入信号”整体的属性,差分输入可以表示为 vi = (vi+, vi-) 也可以表示为 vi = (vic, vid) c 表示共模, d 表示差模。两种描述是完全等价的。只不过换了一个认识角度,就像几何学里的坐标变换,同一个点在不同坐标系中的坐标值不同,但始终是同一个点。 运放的共模输入范围:器件(运放、仪放……)保持正常放大功能(保持一定共模抑制比 CMRR)条件下允许的共模信号的范围。 显然,不存在“某一端”上的共模电压的问题。但“某一端”也一样存在输入电压范围问题。而且这个范围等于共模输入电压范围。 道理很简单:运放正常工作时两输入端是虚短的,单端输入电压范围与共模输入电压范围几乎是一回事。 对其它放大器,共模输入电压跟单端输入电压范围就有区别了。例如对于仪放,差分输入不是 0,实际工作时的共模输入电压范围就要小于单端输入电压范围了。

可以通俗的理解为: 两只船静止在水面上,分别站着两个人,A和B。 A和B相互拉着手。当船上下波动时,A才能感觉到B变化的拉力。这两个船之间的高度差就是差模信号。 当水位上升或者下降时,A并不能感觉到这个拉力。 这两个船离水底的绝对高度就是共模信号。 于是,我们说A和B只对差模信号响应,而对共模信号不响应。当然,也有一定的共模范围了,太低会沉到水底,这样船都无法再波动了。太高,会使会水溢出而形成水流导致船没法在水面上停留 理论上,A和B应该只是对差模有响应 但实际上,由于船上下颠簸,A和B都晕了,明明只有共模,却产生了幻觉:似乎对方相对自己在动。这就说明,A和B内力较弱,共模抑制比不行啊。 当然,差模电压也不可以太大,否则会导致把A和B拉开。 主要是 “共模是两输入端的算术平均值,差模是直接的同相端与反相端的差值”。 共模电压应当是从源端看进来时,加到放大电路输入端的共同值,差模则是加到放大电路两个输入端的差值。 共模电压有直流的,也有交流的。直流的称为直流共模抑制(比),交流的称为交流共模抑制(比),统称共模抑制(比)。一般

差分信号在通信系统设计中有什么优势 上网日期: 2010年11月01日已有[ 2 ]个评论打印版发送查询订阅 关键字:差分信号通信系统RF 通信系统设计的主要挑战之一是如何成功捕获高保真度信号。为了避免强干扰效应、信号失真和灵敏度降低,蜂窝通信系统必须满足蜂窝标准的严格要求,比如具有高动态范围、高输入线性度和低噪声的码分多址(CDMA)和宽带CDMA(W-CDMA)。 过去,一些实践性问题常导致完全差分信号链的性能优势被单端信号链所掩盖,但随着集成射频电路技术和高性能差分射频构建模块的不断发展,如今差分架构已能应用于高性能接收机设计中。本文将讨论差分信号链在3G和4G无线应用中的性能和优点。 接收机信号链 图1是传统超外差接收机的拓扑结构,它很好地描述了差分信号链相对单端信号链的优势。不管采用什么拓扑,我们的目标就是将所需信号成功发送到ADC端进行数字转化。信号路径由以下几个射频模块组成:天线、滤波器、低噪声放大器(LNA)、混频器、ADC驱动放大器和ADC。 图1:接收机在不断发展,越来越多的接收机将使用差分元件。这个趋势开始于ADC,并将逐渐向信

号链上游移动。先进的集成射频电路技术和差分射频构建模块的扩充允许差分架构应用于高性能接收机设计。 LNA是天线之后的第一个模块,用于放大热噪声之上的信号。这级电路中的噪声非常重要,因为它将决定系统灵敏度,而放大可以确保随后的混频器和放大器不会增加显著的噪声。沿信号路径往后是带通滤波器,用于抑制带外信号,减少由其它电路级引起的失真和噪声。 跟随LNA之后,混频器频率转换感兴趣的信号,将高频射频信号下变频至频率更低、更易于管理的中频信号(IF)。ADC驱动放大器和抗混滤波器(AAF)对将要数字化的信号进行预处理。驱动器提供增益,AAF抑制第一奈奎斯特区外的信号,包括将会发送给ADC的噪声和带外杂散分量。在模拟信号路径末端,由ADC完成基带信息的数字转换。 理想情况下,只有感兴趣的信号(图1左边的蓝色图形)才会被传送到数字域。需要使用一个鲁棒系统来处理这个可能很小的目标信号,同时抑制可能较大的干扰信号。鲁棒系统的设计,需要具有高灵敏度、输入线性、选择性和抗噪声性能。根据具体的应用和架构,性能指标可能有所变化,但在大多数通信系统中,像失真、本底噪声和动态范围等都是通常要考虑的要素。输入三阶截取点(IP3)和1dB 压缩点(P1dB)必须高。其它需要考虑的因素还包括低成本、低功耗和小尺寸。 差分优势 图2比较了单端信号和差分信号之间的基本区别。这里使用了一个通用增益模块,但相同的概念可应用于信号链中的混频器和其它器件。在比较单端和差分信号时,要将系统级性能评估标准牢记在心,以实现良好的总体接收机设计。

采用差分PulSAR ADC AD7982转换单端信号 关键字:差分PulSAR ADC AD7982 单端信号 电路功能与优势 许多应用都要求通过高分辨率、差分输入ADC来转换单端模拟信号,无论是双极性还是单极性信号。本直流耦合电路可将单端输入信号转换为差分信号,适合驱动PulSAR系列ADC中的18位、1 MSPS器件AD7982。该电路采用单端转差分驱动器ADA4941-1 和超低噪声5.0 V基准电压源ADR435 ,可以接受许多类型的单端输入信号,包括高压至低压范围内的双极性或单极性信号。整个电路均保持直接耦合。如果需要重点考虑电路板空间,可以采用小封装产品,图1所示的所有IC均可提供3 mm × 3 mm LFCSP或3 mm × 5 mm MSOP小型封装。 图1:单端转差分直流耦合驱动器电路(原理示意图) 电路描述 AD7982的差分输入电压范围由REF引脚上的电压设置。当VREF = 5 V时,差分输入电压范围为±VREF = ±5 V。从单端源VIN到ADA4941-1的OUTP的电压增益(或衰减)由R2与R1之比设置。R2与R1之比应等于VREF 与输入电压峰峰值VIN之比。当单端输入电压峰峰值为10 V且VREF = 5 V时,R2与R1之比应为0.5。OUTN上的信号为OUTP 信号的反相。R1的绝对值决定电路的输入阻抗。反馈电容CF根据所需的信号带宽选择,后者约为1/(2πR2CF)。20 Ω电阻与2.7 nF电容构成3 MHz单极点低通噪声滤波器。电阻R3和R4设置AD7982的IN?输入端的共模电压。 此共模电压值等于VOFFSET2 × (1 + R2/R1),其中VOFFSET2 = VREF × R3/(R3 + R4)。电阻R5和R6设置ADC的IN+输入端的共模电压。此电压等于VOFFSET1 = VREF × R5/(R5

Allegro16.6约束规则设置详解 前言:本文主要讲解Allegro16.6约束管理器的使用,从基本约束规则到高级约束规则的设置。 目录: 一、基本约束规则设置 1、线间距设置 2、线宽设置 3、设置过孔 4、区域约束规则设置 5、设置阻抗 6、设置走线的长度范围 7、设置等长 7.1、不过电阻的NET等长 7.2、过电阻的XNET等长 7.3、T型等长 8、设置通用属性 9、差分规则设置 9.1、创建差分对 9.2、设置差分约束 10、Pin Delay

二、高级约束规则设置 11、单个网络长度约束 12、a+b类长度约束 13、a+b-c类长度约束 14、a+b-c在最大和最小传播延迟中的应用

1、线间距设置 (1)、设置默认间距规则 点击CM图标,如下图所示,打开约束管理器。 单击Spacing,再点击All Layers,如下图所示。右边有一个DEFAULT就是默认规则,我们可以修改其值。

按住Shift键,点击第一个和最后一个即可选中所示,然后输入一个值,这样就都修改了,如下图所示 (2)、定义特殊的间距约束 点选Default按鼠标右键,执行Create-Spacing CSet

加入新规则。取一个有意义点的名字,如下图所示,单击OK。 其值是从默认规则拷贝的,先修改其值。 按住Shift键选中所有,输入12,回车。 然后为所需要设置的网络分配规则 单击左边的Net-All Layers,在右边工作簿中,为GND网络设置12MIL_SPACE规则,在Referenced Spacing CSet下选中12MIL_SPACE,如下图所示

高速电路 (由于高速电路有很多参考资料,本文并不侧重全面讲述原理、各种匹配和计算方法,而是侧重评析一些高速电路的优缺点,并对常用电路进行推荐使用。) 一、高速信号简介: 常见的高速信号有几种:ECL电平、LVDS电平、CML电平 其中ECL电平根据供电的不同还分为: ECL――负电源供电(一般为-5.2v) PECL――正5V供电 LVPECL――正3v3供电,还有一种2.5V供电 一般情况下,常见的高速信号都是差分信号,因为差分信号的抗干扰能力比较强,并且自身产生的干扰比较小,能够传输比较高的速率。 二、几种常见的高速信号: 1、PECL电平 从发展的历史来说,ECL信号最开始是采用-5.2V供电的(为何采用负电源供电下面会详细说明),但是负电源供电始终存在不便,后来随着工艺水平的提升,逐渐被PECL 电平(5V供电)所替代,后来随着主流芯片的低电源供电逐渐普及,LVPECL也就顺理成章地替代了PECL电平。

PECL信号的输出门特点: A、输出门阻抗很小,一般只有4~5欧姆左右: a、输出的驱动能力很强;直流电流能达到14mA; b、同时由于输出门阻抗很小,与PCB板上的特征阻抗Z0(一般差分100欧姆),相差 甚远当终端不是完全匹配的时候,信号传到终端后必然有一定的反射波,而反射波传会到源端后,也不能在源端被完全匹配,这样必然发送二次反射。正因为存在这样的二次反射,导致了PECL信号不能传输特别高的信号。一般155M、622M的信号还都在使用PECL/LVPECL信号,到了2.5G以上的信号就不用这种信号了。 c、 B、PECL信号的回流是依靠高电平平面(即VCC)回流的,而不是低电平平面回流。所以, 为了尽可能的避免信号被干扰,要求电源平面干扰比较小。也就是说,如果电源平面干扰很大,很可能会干扰PECL信号的信号质量。 a、这就是ECL信号出现之初为何选用负电源供电的根本原因。一般情况下,我们认为 GND平面是比较干净的平面。因为我们可以通过良好的接地来实现GND的平整(即干扰很小)。 b、从这个角度来说,PECL信号和LVPECL信号都是容易受到电源(VCC)干扰的,所以 必须注意保证电源平面的噪声不能太大。 C、对于输出门来说,P/N二个管脚不管输出是高还是低,输出的电流总和是一定的(即恒 流输出)。恒流输出的特性应该说是所有的差分高速信号的共同特点(LVDS/CML电平也是如此)。这样的输出对电源的干扰很小,因为不存在电流的忽大忽小的变化,这样对电源的干扰自然就比较小。而普通的数字电路,如TTL/CMOS电路,很大的一个弊病就是干扰比较大,这个干扰大的根源之一就是对电源电流的需求忽大忽小,从而导致供电平面的凹陷。 D、PECL的直流电流能达到14mA,而交流电流的幅度大约为8mA(800mV/100ohm),也就 是说PECL的输出门无论是输出高电平还是低电平,都有直流电流流过,换一句话说PECL 的输出门(三极管)始终工作在放大区,没有进入饱和区和截至区,这样门的切换速度就可以做得比较快,也就是输出的频率能达到比较高的原因之一。 下面是PECL电平的输入门结构: 其中分为二种:一种是有输入直流偏置的,一种是没有输入直流偏置,需要外接直流偏置的。 一般情况下,ECL/PECL/LVPECL信号的匹配电阻(差分100欧姆)都是需要外加的,芯片内部不集成这个电阻。 大家可以看到,VCC-1.3V为输入门的中间电平(即输入信号的共模电压),对于LVPECL 来说大约为2V,对于PECL来说为3.7V。 也就是说,我们要判断一个PECL/LVPECL电平输入能否被正常接收,不仅要看交流幅度能否满足输入管脚灵敏度的要求,而且要判断直流幅度是否在正常范围之内(即在VCC-1.3V 左右,不能偏得太大,否则输入门将不能正常接收)。在这一点上与LVDS有很大的差别,务必引起注意。

相关文档
最新文档