数字电路课程设计之加减法运算电路设计(1)

设计资料1

加减法运算电路设计

1.设计内容及要求

1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。

2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。

3.提出至少两种设计实现方案,并优选方案进行设计

2.结构设计与方案选择

2.1电路原理方框图

电路原理方框图如下

→ →

图1-1二进制加减运算原理框图

如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

即:

若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16

置数

开关选择运算方式

加法运算电路

减法运算电路

译码显示计算结果

显示所置入的两个一位十进制数

并在七段译码显示器上显示16.

若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 并在七段译码显示器上显示02.

2.2加减运算电路方案设计

2.2.1加减运算方案一

如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。

2.2.2加减运算方案二

由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。

通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。

在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端

接LED灯显示输出结果,输出为五位二进制数。

图2-2-1加减法运算电路图

2.2.3两种方案的比较

通过对两种方案的比较,为实现设计要求,首先在不计入数码管所需芯片的情况下,方案二一共需要十二个芯片,电路的连接相当复杂,产生接线错误和导线接触不良的几率大大增加,而且耗费较高;而方案一一共需要七或九个芯片,且其中几个芯片只用到一两个门,相对接线较简单,容易实现。

其次,方案二采用串行进位和借位的方式来实现四位逻辑加减运算,任意一位的逻辑运算必须在前一位的运算完成之后才能进行,相较而言运算速度不高;而方案一采用的是超前进位的方式来实现四位逻辑运算的,每位的进位只有加数和被加数决定,而与低位的进位无关,它的运算速度较方案二高出很多。

综上所述,方案一较方案二更加优秀,不仅电路简单而且运算速度更快,经综合小组各设计方案,被选为小组共同方案。

3单元电路设计、参数计算和器件选择

3.1单元电路设计

3.1.1减法电路的实现

图3-1-1 减法运算电路

如图3-1-1所示,该电路功能为计算A-B。若n位二进制原码为N原,

=2n-N原,补码与反码的关系式为N补=N反+1,

则与它相对应的补码为N

A-B=A+B补-2n=A+B反+1-2n

因为B○+1= B非,B○+0=B,所以通过异或门74LS86对输入的数B求其反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为:

A+B反+1,

由于2n=24=(10000)2,相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,它与2n的差为0;当进位输出信号为0时,它与2n差值为1,同时还要发出借位信号。因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码,借位信号为0。

3.1.2 译码显示电路

一个七段LED译码驱动器74HC4511和一个七段LED数码显示器组成。七段LED译码驱动器74HC4511的功能表如下.在74HC4511中,经前面运算电路运算所得的结果输入74HC4511的D3D2D1D0,再译码输出,最后在七段LED显示器中显示出来.

七段LED译码驱动器74HC4511功能表

七段LED译码驱动器74HC4511功能表续

图3-1-2 译码显示电路

3.1.3 加法电路的实现

用两片4位全加器74LS83和门电路设计一位8421BCD 码加法器

由于一位8421BCD 数A 加一位数B 有0到18这十九种结果。而且由于显示的关系 当大于9的时候要加六转换才能正常显示,所以设计的时候有如下的真值表:

C O

S 3

S 2

S 1

S 0

Y

数的大小

8 4 2 1 0 0 0 0 0 0 0 没有超过9

0 0 0 0 1 0 1 0 0 0 1 0 0 2 0 0 0 1 1 0 3 0 0 1 0 0 0 4

0 0 1 0 1 0 5 0 0 1 1 0 0 6 0 0 1 1 1 0 7 0 1 0 0 0 0 8 0 1 0 0 1 0 9 0 1 0 1 0 1 10 需要转换

0 1 0 1 1 1 11 0 1 1 0 0 1 12 0 1 1 0 1 1 13 0 1 1 1 0 1 14 0 1 1 1 1 1 15 1 0 0 0 0 0 16 1 0 0 0 1 0 17 1 0 0 1 0 0 18 1 0 0 1 1 0 19 无关项 1 0 1 0 0 0 20 1

1

1

21

3210321032103210321032103231

Y S S S S S S S S S S S S S S S S S S S S S S S S S S S S =+++++=+

由表我们可以算出Y 的表达式

由前16项有(1)(2)由后10项有

1O Y C ==

由(1)(2)有Y =C O +S 3S 2+S 3S 1

由于用与非门比较方便所以我们选用了与非门电路 有以下两种选择:

(1)443424434244342Y=C +S S +S S =C +S S +S S C S S S S =∙∙ (2)443424434244342Y=C +S S +S S =C +S S +S S C S S S S =∙∙

但是第一种方式简单所以我们选用了第一种方式得到了如下的理论图:

1 0 1 1 0 0 2

2 1 0 1 1 1 0 2

3 1 1 0 0 0 0 2

4 1 1 0 0 1 0 2

5 1 1 0 1 0 1 2

6 1 1 0 1 1 1 2

7 1 1 1 0 0 1 2

8 1 1 1 0 1 1 2

9 1 1 1 1 0 1 30 1

1

1

1

1

1

31

图3-1-3 加法运算电路

3.2 元器件选择

3.2.1 加法电路器件

完成加法运算可用器件超前进位加法器74LS283或者4008来实现。如图3-1-3还需用到2输入与门74LS08,3输入或门。

16 15 14 13 12 11 10 9

74LS283

1 2 3 4 5 6

7 8 V CC B 2 A 2 S 2 B 3 A 3 S 3 C 3 TTL 加法器74LS283引脚图

16 15 14 13 12 11 10 9

4008

1 2 3 4 5 6 7 8 V DD B 3C 3 S 3 S 2 S 1 S 0 C 0-1 CMOS 加法器4008引脚图

A 3

B 2 A 2 B 1 A 1 B 0 A 0 V SS S 1 B 1 A 1 S 0 B 0 A 0

C 0-1 GND

74LS08引脚图

3.2.2 减法电路器件

由于没有直接做减法运算的器件,所以用加上减数的补码来完成减法运算,仍要用到74LS283或者4008,如图3-1-1,只需在加法器前加上4个异或门(一片74LS86)即可。

74LS86

=1 =1

=1

=1

数字电路课程设计之加减法运算电路设计(1)

设计资料1 加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 置数 开关选择运算方式 加法运算电路 减法运算电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端

加法运算电路

中文摘要 加法运算电路时一种通过数字运算实现加法的运算。为了更好的实现加法器的功能,本次试验实现的是俩位十进制数相加,最多能实现15加15的加法电路。由五部分组成键盘及编码电路、加数寄存器A和被加数寄存器B、加法运算电路、4bit二进制码加法的BCD 调整 通过按键控制。 主要组成部分有数字输入与控制寄存电路74LS系列利用两块74LS194寄存器并行输入两个数据A3A2A1A0、B3B2B1B0 74LS283加法器中进行加法运算。进行bcd码调整,最后显示结果。由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 关键词加法运算电路,寄存器,加法器,二进制

目录 课程设计任务书.................................................................................................................错误!未定义书签。中文摘要......................................................................................................................................................... I 1 设计任务描述.. (1) 1.1设计题目:加法运算电路: (1) 1.2 设计要求 (1) 1.2.1 设计目的 (1) 1.2.2 基本要求 (1) 1.2.3 发挥部分 (1) 2设计思路 (2) 3设计方框图 (3) 4各部分电路设计及参数计算 (4) 4.1键盘设计电路 (4) 4.2寄存器电路 (5) 4.2.1寄存器74LS194N电路设计及工作原理 (5) 4.3加法器工作原理 (6) 4.4译码显示电路 (7) 4.4.1 译码显示电路的连接 (7) 4.4.2 译码显示电路的工作原理 (7) 5工作过程分析 (8) 5.1加法工作过程 (8) 6元器件清单 (12) 7主要元器件介绍 (13) 7.110-4线BCD优先编码器74147 (13) 7.1.1引脚图 (13) 7.1.2功能表 (13) 7.1.3 功能介绍 (14) 7.2 寄存器74LS194N (14) 7.2.1引脚图 (14) 7.2.2 功能表 (14) 7.3.3 功能介绍 (15) 7.4 加法器74S283N (15) 7.4.1 引脚图 (15) 7.4.2 功能表 (16) 7.4.3 功能介绍 (16) 小结 (17) 致谢 (18) 参考文献 (19) 附录 A1 逻辑电路图 (20)

加法运算电路

加法运算电路是一种关键的数字电路,它被广泛应用于各种计算机和电子设备中,它可以对两个二进制数进行加法运算,并输出结果。本文将详细介绍加法运算电路的工作原理以及它的基本设计和应用。 一、加法运算电路的工作原理 加法运算电路是基于全加器的原理设计的,全加器是一种可以实现三个二进制数相加的电路,它包括两个输入和三个输出,分别是和值、进位以及输出值。当两个二进制数相加时,进位信号是从高位到低位传递的,因此需要多个全加器级联使用,这样才能对两个多位二进制数进行加法运算。

二、加法运算电路的基本设计 加法运算电路的基本设计需要满足以下要求: 1、能够对两个二进制数进行加法运算; 2、能够处理进位信号和溢出; 3、具有高速和可靠的性能。 基于这些要求,加法运算电路可以采用不同 的设计方法,其中最常见的是串行加法器和 并行加法器。串行加法器逐位相加,计算速 度慢但结构简单,而并行加法器可以同时处 理多位二进制数,因此计算速度快,但结构 复杂。 三、加法运算电路的应用 加法运算电路广泛应用于各种数字电路和计

算机系统中,其中最常见的应用包括: 1、算术逻辑单元:在计算机系统中,加法运算电路被设计为算术逻辑单元的一部分,负责处理整数和浮点数的加减法运算; 2、信号处理:在音频和视频信号处理中,加法运算电路可用于对信号进行混合和平均; 3、加密和解密:在信息安全和保密通信中,加法运算电路被广泛使用于各种加密和解密算法中。 四、总结 加法运算电路是一种重要的数字电路,它可以对两个多位二进制数进行加法运算,并输出结果。加法运算电路的设计需要考虑诸多因素,如计算速度、结构复杂度以及性能可

加减法运算电路

一、设计目的 把握电子电路的一样设计方式和设计流程; 二、学习利用PROTEL软件绘制电路原理图及印刷板图; 三、把握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正确 性。 四、设计要求 -1. 设计寄放器单元。 2.设计全加器单元。 3. 设计7487(或74LS87)互补器单元 五、设计内容及原理图,仿真图 算术逻辑电路设计 一、半加法器 半加法器是个能计算两个二进制位和的算术电路,该电路有两个输入及两个输出。其中输入 是被加数两位,而输出分是和与进位位。 真值表: 输入输出 x y carry sum 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0

半加器电路绘制 其输出函数表示式: = = sum⊕ + y x y x y x carry= xy 半加器电路符号 半加器电路功能模拟结果 二、全加法器 全加法器是求三个输入位算术和的组合电路,它包函三个输入位与两个输出位。其中两个输入(x和y)代表要相加的两个有效位,第三个输入(z)代表由次低有效位置所产生的进位。

真值表: 输入输出 x y z carry sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 全加器电路绘制 其输出函数表示式: = + ⊕ + = + sum⊕ xyz z z y x x y z y x z y x + = carry+ yz xy xz 产生的全加器电路符号

全加器电路功能模拟结果。 四位加法器设计方式 1:四位加法器电路绘制 四位加法器

数电课设

课程设计任务书 学生姓名:张三专业班级:电信1306 指导教师:周颖工作单位:信息工程学院 题目:加减法运算电路 初始条件: 具备电子电路的基础知识和设计能力;具备查阅资料的基本方法;熟悉常用的电子器件;熟悉电子设计常用软件的使用; 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计并行加减运算电路; 2、led灯显示结果,按键控制运算模式; 3、内部具有两个寄存器; 4、掌握数字电路的设计及调试方法; 5、撰写符合学校要求的课程设计说明书。 时间安排: 时间一周,其中2天原理设计,3天电路调试 指导教师签名:年月日 系主任(或责任教师)签名:年月日

摘要 数字电子技术基础是电子信息工程专业的必修基础课程之一。数电从基本入手,讲述了数字电路的基本知识,以及如何分析数字电路和设计数字电路的基本理论和基本方法。数字电路系统多由逻辑门电路,加法器,比较器,计数器,译码器,移位寄存器,锁存器等一个或者多个数字电路电子器件组成。本次课程设计的实验目的是设计利用全加器设计一个加减法运算电路,通过移位寄存器实现并行输入后,利用全加器一些异或门实现两个四位二进制数的加减法,最终通过LED灯显示运算结果(灯亮表示输出1,灯灭表示输出为0)。此电路具有使用一个开关的0和1状态改变电路的运算功能(0为加,1为减)。进行实物制作前需要通过Mutisim仿真软件进行电路设计,经调试达到目标要求后,方可对电路进行焊接,完成。 关键词:全加器、移位寄存器、异或门,与门。

Abstract Digital electronic technology is one of the compulsory basic courses in Electronic Information Engineering Specialty., Digital electronic technology base from the basic start, tells the basic knowledge of digital circuit, and the basic theory and method of that how to how to analyze and design digital circuit.. The digital circuit system is composed of one or more of logic gate circuits, adders,comparators,counters,decoders,shift registers,latchs,and electronic devices.The experimental purpose of this course design is to design addition and subtraction operation circuit with full adders.,using the shift registers to realize parallel input and then completing addition and subtraction of four bit binary numbers with adders and some XOR gates. Finally, the LED lamps show the results of the operation (The output is 1 when the lamp is on.).. This circuit has the function of using a switch 0 and 1 states to change the operation of the circuit (0 is added, 1 is reduced). Mutisim simulation is necessary before making actual things. After debugging to meet the requirements of the target, the circuit can be welded, complete. Keywords::full adders, shift registers, XOR gates, AND gates.

设计一个一位十进制加减法++数字电路课程设计报告

课程设计报告 课程:微机系统与接口课程设计 学号: 姓名: 班级: 教师: ******大学

计算机科学与技术学院 设计名称:设计一个一位十进制加减法器 日期:2010年1月 23日 设计内容: 1、0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。 2、用一个开关控制加减法器的开关状态。 3、要求在数码显示管上显示结果。 设计目的与要求: 1、学习数字逻辑等电路设计方法,熟知加减法器、编码器、译码显示的工作原理及特点; 2、培养勤奋认真、分析故障和解决问题的能力。 设计环境或器材、原理与说明: 环境:利用多功能虚拟软件Multism8进行电路的制作、调试,并生成文件。器材:74LS283或者4008, 4个异或门(一片74LS86)(减法);74LS08,3输入或门(加法) 设计原理: 图1二进制加减运算原理框图 分析:如图1所示,第一步置入两个四位二进制数(要求置入的数小于1010), 如(1001) 2和(0111) 2 ,同时在两个七段译码显示器上显示出对应的十进制数

9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 设计过程(步骤)或程序代码: 实验电路: 1:减法电路的实现: (1):原理:如图1所示(如下),该电路功能为计算A-B。若n位二进制 原码为N 原,则与它相对应的补码为N 补 =2n-N 原 ,补码与反码的关系式为N 补 =N 反 +1, A-B=A+B 补-2n=A+B 反 +1-2n (2):因为B○+1= B非,B○+0=B,所以通过异或门74LS86对输入的数B求其反码,并将进位输入端接逻辑1以实现加1,由此求得B的补码。加法器相加的结果为: A+B 反 +1, (3):由于2n=24=(10000) 2 ,相加结果与相2n减只能由加法器进位输出信号完成。当进位输出信号为1时,它与2n的差为0;当进位输出信号为0时,它与2n差值为1,同时还要发出借位信号。因为设计要求被减数大于或等于减数,所以所得的差值就是A-B差的原码,借位信号为0。

数字电路加法运算电路设计方案

数字电路加法运算电路设计方案 1 设计任务描述 1.1 设计题目:加法运算电路 1.2 设计要求 1.2.1 设计目的 (1) 掌握1位十进制加法运算电路的构成,原理和设计原理; (2)熟悉集成电路的使用方法。 1.2.2 基本要求 (1) 设计键盘以及编码电路; (2) 设计加数寄存器A和被加数寄存器B单元; (3) 实现4bit二进制码加法的BCD调整; (3) 用数码管以十进制形式显示最后运算结果。 1.2.3 发挥部分 (1) 拓展十进制减法; (2) MR存储运算中间值; (3)其他。

2 设计思路 根据此次课程设计的要求,我设计的简单计算器包括两大部分:加法计算部分,减法计算部分。其中加法计算部分由五个部分组成,键盘及编码电路、加数寄存器A和被加数寄存器B、加法运算电路、4bit二进制码加法的BCD调整和译码显示器。减法计算部分和加法计算部分共用同一个键盘,其他部分由反相器,求补逻辑电路以及相应的译码显示器组成。其中有几个难点:如何实现2位十进制和怎样利用寄存器把数据传输到加法器中。 因为经键盘及编码器输出的是2进制数,那么寄存器接受并输出的数据也是2进制数,所以加法器输出的数据应是8421BCD码,使显示装置最终显示十进制数。因为1位十进制数的8421BCD码与二进制数表现形式相同,但2位十进制数的8421BCD码与二进制数不同,所以我设计的加法运算装置是由两个74S283N芯片来实现2位十进制数的输出。原理是让第二个芯片的一个输入端接第一个芯片的输出端,另一个输入端进行对第一个芯片的运算结果进行判断,大于等于10时输入6即2进制数的0110,反之输入0。输出结果即为2位十进制数的8421BCD码。 寄存器的设计是由一个74LS374N芯片和两个74S194N芯片组成的,其中两个74S194N 芯片并联后与74LS374N芯片串联。74LS374N芯片的脉冲由键盘的数字键提供,使得按下数字键后该寄存器就存储输入的数字,并通过译码显示器显示。两个74S194N芯片的脉冲分别由键盘的+号和=号提供,分别存储加数与被加数并输入到第一个74S283N芯片中运算。最后通过译码显示器显示运算结果。 当对应数字的开关被按下后,译码器显示数字同时将数字存到寄存器中。然后经过加法运算,对运算结果进行BCD调整,最后显示运算结果。 1 键盘及编码电路:用开关及电阻组成键盘部分,用10-4线BCD优先编码器74147及四个非门组成编码电路,实现将0-9转化成二进制数。 2 加数寄存器A和被加数寄存器B:由4位并行寄存器74LS175实现对数据的存储,用2个4双向模拟开关4066、开关及非门判断是将二进制数存储到加数寄存器A还是被加数寄存器B。 3 加法运算电路:用集成4位超前进位加法器74HC283对加数和被加数进行运算。 4 4bit二进制码加法的BCD调整:用4位数值比较器74HC85对和进行比较、控制加法器是加0还是加6从而达到调整的目的。 5 译码显示器:将8421BCD码通过译码显示器转化成十进制数并显示出来。

简易加减计算器设计(数电)

电子技术课程设计电气与信息工程学院建筑电气与智能化专业题目:简易加减计算器设计 姓名:徐雪娇 学号:094412110 指导教师:祁林

简易加减计算器设计 一、设计目的 1、在前导验证性认知实验基础上,进行更高层次的命题设计实验. 2、在教师指导下独立查阅资料、设计、特定功能的电子电路。 3、培养利用数字电路知识,解决电子线路中常见实际问题的能力. 4、积累电子制作经验,巩固基础、培养技能、追求创新、走向实用。 5、培养严肃认真的工作作风和严谨的科学态度。 二、设计要求 1、用于两位一下十进制的加减运算。 2、以合适方式显示输入数据及计算结果。 三、总体设计 第一步置入两个四位二进制数。例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。 第二步通过开关选择加(减)运算方式; 第三步若选择加运算方式所置数送入加法运算电路进行运算;同理若选 择减运算方式,则所置数送入减法运算电路运算; 第四步前面所得结果通过另外两个七段译码器显示。即: 方案一 通过开关J1-J8接不同的高低电平来控制输入端所置的两个一位十进制数, 译码显示器U10和U13分别显示所置入的两个数。数A直接置入四位超前进位 加法器74LS283的A4-A1端,74LS283的B4-B1端接四个2输入异或门。四个 2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关J5-J8,通过开关J5-J8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B, 通过加法器74LS283完成两个数A和B的相加。当开关J1接高电平时,B与1 异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283 的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B 完成减法运算。由于译码显示器只能显示0-9,所以当A+B>9时不能显示,我们 在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001) 时加上6(0110)2,产生的进位信号送入译码器U12来显示结果的十位,U11 2 显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不 会出现上述情况,用一片芯片U11即可显示结果。 方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四 位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低 位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低

基本运算电路教学设计

基本运算电路教学设计 基本运算电路是电子电路中一种重要的电路,它能够对输入信号进行加法、减法、乘法和除法等基本运算操作。在电子工程领域,基本运算电路被广泛应用于模拟电路、数字电路以及信号处理等多个领域。本文将介绍基本运算电路的教学设计,并探讨一些相关的实验和应用。 一、基本运算电路的基本原理 基本运算电路是由多个电子元件和连接线组成的电子电路。它基于电子元件的非线性特性,能够实现对输入信号进行四则运算。基本运算电路的基本原理是利用电压放大器和运算放大器,通过负反馈来调节输入信号和输出信号之间的关系。 二、基本运算电路的实验设计 基本运算电路实验设计是电子电路实验课程中的重要内容之一。下面以加法运算电路实验设计为例,介绍基本运算电路实验的设计过程。 1. 实验目的 加法运算电路实验的目的是让学生了解基本运算电路的工作原理,掌握基本运算电路的设计和调试方法,培养学生实际动手能力和问题解决能力。 2. 实验原理 加法运算电路的实验原理是将两个输入信号通过电阻网络和运算放大器相连,通过负反馈来调节输入信号和输出信号之间的关系,实现两个输入信号的相加操作。

3. 实验器材和元件 实验器材:信号发生器、示波器、直流电源、电阻箱等。 实验元件:运算放大器、电阻等。 4. 实验步骤 (1)将信号发生器的输出信号接入加法运算电路的一个输入端口,另一个输入端口接入直流电源的输出信号。 (2)通过调整信号发生器和直流电源的输出信号,观察加法运算电路输出信号的变化。 (3)通过示波器测量和记录加法运算电路输入信号和输出信号的波形。 5. 实验结果分析 通过实验可以得到加法运算电路的输入信号和输出信号的波形图。通过比较输入信号和输出信号的关系,可以验证加法运算电路的工作原理和性能。 三、基本运算电路的应用举例 基本运算电路的应用非常广泛,下面以一些实际应用举例来说明基本运算电路的应用价值。 1. 模拟运算电路 基本运算电路可以用于模拟电路中,如模拟计算器、模拟信号处理器等。在模拟

数字电路课程设计报告

数字电路课程设计报告 1. 引言 数字电路课程设计是电子信息类专业中的一门重要课程,通过该课程的学习,可以深入了解数字电路设计的原理和方法。本报告旨在总结和展示数字电路课程设计的过程和成果。 2. 设计目标 本次数字电路课程设计的目标是设计一个简单的计算器电路,能够实现加法和减法运算。具体要求如下: 1.采用组合逻辑电路设计,不使用任何存储器元件。

2.输入端包括两个4位二进制数,输出端包括一个4位二进制数和一个进位信号。 3.采用基本门电路实现加法和减法运算,例如AND、OR、XOR等。 4.设计合理的测试用例,验证计算器电路的正确性。 3. 设计思路 3.1 加法器设计思路 加法器是计算器电路中最基本的模块之一。我们采用全加器的设计思路来实现加法器。

全加器的真值表如下: | A | B | Cin | Sum | Cout | |—|—|—–|—–|——| | 0 | 0 | 0 | 0 | 0 | | 0 | 0 | 1 | 1 | 0 | | 0 | 1 | 0 | 1 | 0 | | 0 | 1 | 1 | 0 | 1 | | 1 | 0 | 0 | 1 | 0 | | 1 | 0 | 1 | 0 | 1 | | 1 | 1 | 0 | 0 | 1 | | 1 | 1 | 1 | 1 | 1 | 由于需要实现4位二进制数的加法,我们将采用4个全加器进行级联来实现。

3.2 减法器设计思路 减法运算可以转换为加法运算来实现。我们可以使用补码的方式实现减法器。 补码的求法为:先对减数取反(按位取反),然后加1。 将减法运算转换为加法运算后,实质上是将被减数加上减数的补码进行运算。 4. 数字电路设计 4.1 加法器电路设计 我们采用逻辑门电路实现全加器。以下是全加器的电路设计图:

可控加减法电路设计实验报告

可控加减法电路设计实验报告 一、实验目的。 1.了解四位二进制数运算的基本原理,制定设计方案。 2.利用ISE软件进行可编程逻辑器件设计,完成逻辑仿真功能。 3.使用编译器将设计实现,下载到BASYS2实验板上进行调试和验证所设计的四位二进制数的运算。 二、实验器材。 1.Pentium—Ⅲ计算机一台; 2.BASYS2 实验板一只; 三、实验方案。 1.基本功能。 实现了两个四位二进制数的加减法运算,能够在输出端得出结果. 2.清零功能。 利用一个微动开关,在逻辑程序中表示出当按下微动开关后两个操作数都变为零。再调用以前的加法程序,即可实现输出结果清零。 3.用数码管显示。 编写程序,将数值转换为七段显示器显示。将运算结果输送到数码管中。值得注意的是四个数码管要显示不同的数字,就需要利用到人的视觉误差,做一些短暂的延时。 4.溢出显示。 本实验中,设计的是一个无符号数加减法器,因而其共有两种溢出情况 一,减法时,减数大于被减数,针对这种情况可以利用比较大小进行溢出判断; 二,加法时,被操作数之和大于15。判断进位,如果进位为1则显示溢出,若反之,则不显示。 四、实验原理图。

五、实验模块说明及部分代码。 1.add1部分。将输入的两个操作数相加并判断大小。相加结果放在led中,进位放在carry中。 led[0]=num1[0]^num2[0]; carry[0]=num1[0]&num2[0]; led[1]=num1[1]^num2[1]^carry[0]; carry[1]=(num1[1]&num2[1])|(carry[0]&(num1[1]^num2[1])); led[2]=num1[2]^num2[2]^carry[1]; carry[2]=(num1[2]&num2[2])|(carry[1]&(num1[2]^num2[2])); led[3]=num1[3]^num2[3]^carry[2]; if(add) begin

加减法运算电路的课程设计

加减法运算电路的课程设计 一、课程设计的目的和要求 目的: 1.了解加减法运算电路的原理、组成和性能。 2.熟悉加减法运算器的制作和调试过程。 3.提高学生的实际操作能力和实验调试能力,培养学生的创新意识和动手实践能力。 要求: 1.合理规划实验内容,注重实际操作能力和实验调试能力的培养。 2.严格遵守实验安全规范,确保实验安全。 3.要注意实验设备和器材的选择和使用,确保实验结果的准确性和可靠性。 二、课程设计内容分析 1.实验器材与工具 (1)基于 MAX232 芯片的调试板。

(2)示波器、数字万用表、电烙铁等工具设备。 (3)Bread board(面包板)、LED 灯、电阻、电容等元器件。 2.实验原理 (1)MAX232 介绍。 MAX232 是 MAXIM 公司推出的一款 RS232 界面通讯 IC,用 于将 RS232 电平转换成 TTL 电平,实现 RS232 与 TTL 电平 的转换。 MAX232 由四个电容和两个 RS232/TTL 翻译器组成。电容用 于同步时钟,翻译器用于转换信号电平。一个翻译器的输入电路连接 RS-232 端口,另一个翻译器的输入电路连接 TTL 设备。MAX232 可以混合工作,因此,它可以用于将 RS-232 端口连 接到 TTL 设备,也可以将 TTL 设备连接到 RS-232 端口。 (2)加减法运算电路介绍。 加法器和减法器都是数字电路中常见的电路。加减法器是计算机中运算器的组成部分。加法器实现两个二进制数的加法运算,减法器实现两个二进制数的减法运算。 加法器的电路一般都由若干个半加器或全加器级联而成。半加器是只能处理两个一位二进制数的加法电路,全加器可以处理三个一位二进制数的加法电路。

设计一个一位十进制加减法++数字电路课程设计报告

设计一个一位十进制加减法++数字电路课程设计报告 一位十进制加减法++数字电路课程设计报告 摘要:本文介绍了一位十进制加减法++数字电路课程设计的具体实现步骤。通过串行和并行方式,使用ALU模块实现对数字的加减法运算,并模拟真实的计算机系统。最后,将实现的电路连接到FPGA上,并在XilinxISE环境中进行调试和测试。 关键词:十进制加减法; ALU; FPGA; XilinxISE 1 绪论 随着信息技术的发展,数字电路处理能力也越来越强大。在数字电路设计中,加减法运算是最基本的运算,因此如何实现十进制加减法++数字电路设计成为研究的重点。本文将介绍一位十进制加减法++数字电路设计的具体实现步骤,并将实现的电路连接到FPGA上,并在XilinxISE环境中进行调试和测试,以便快速实现和调试。 2 原理说明 十进制加减法++数字电路设计是一种实现数字加减法运算的电路,它可以通过串行或并行的方式来实现,主要包括以下几部分:

(1) 数据输入:数字输入的格式一般为二进制、八进制、十进制或十六进制,可以使用输入设备(如键盘、指针装置等)输入; (2) ALU模块:ALU模块(算术逻辑单元)是实现加减法运算的最主要组成部分,它包括算术逻辑和控制逻辑; (3) 数据输出:数据输出的格式一般为二进制、八进制、十进制或十六进制,可以使用输出设备(如显示器、打印机等)进行输出; (4) 控制逻辑:控制逻辑主要由指令和控制状态机构成,控制状态机负责控制ALU模块的加减法运算,而指令则控制整个系统的运行状态。 3 电路设计 (1)ALU模块设计:由于加减法运算是ALU模块的主要功能,因此该模块必须具备加减法运算的能力,同时应具备移位、带符号处理、移位定位、逻辑运算等功能。 (2)控制逻辑设计:为了控制ALU的加减法运算,必须设计一套控制逻辑,该控制逻辑主要由指令和控制状态机构成,指令用于控制系统的运行状态,而控制状态机则控制ALU模块的加减法运算,实现真实的计算机系统。 (3)数据输入输出设计:数据输入和输出必须具备输入格式和输出格式,一般情况下可以使用输入设备(如键

数字电子技术加减法运算电路设计课程设计报告

《数字电子技术》课程设计加/减法运算电路设计 **大学信息科学与技术学院 电子信息工程系****级 ****年*月*日

加/减法运算电路设计 一、系统设计任务及要求 内容及要求: 1)测量信号为正弦波、方波和三角波等各种周期波。 2)用触发器设计分频电路和工作时序图。 3)设计由触发器构成的数字频率计电路原理图。 二、系统设计目的 利用所学数字电子技术的理论知识设计一个数字频率计,可以测量矩形信号、正弦信号等波形的工作频率。 三、系统设计实现 1.系统概述 分析: 和(0111),第一步:置入两个四位二进制数(要求置入的数小于1010),如(1001) 2 同时在两个七段译码显示器上显示出对应的十进制数9和7; 第二步:通过开关选择运算方式加或者减; 第三步:若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算; 第四步:前面所得结果通过另外两个七段译码器显示。 2.单元电路详细设计与分析 实验电路: 编码器单元电路: 在该方案中,编码器采用二——十进制编码器,将输入的十进制数值译为对应的BCD码的反码,反码取反后送到加法运算电路中。 加减运算电路: 加减运算电路主要采用由74LS183(双全加器)组成的四位串行加法,电路采用个位和个位相加,十位和十位相加的形式,在个位相加所得结果大于9的

二进制数时,用组合逻辑电路产生进位信号,但是由于用二进制数表示的个位相加的和不大于十五,这样,在和为16—18时,由刚才的组合逻辑电路就不能产生进位信号,但是这是四位串行加法器恰可以产生进位信号,所以,若将上述组合逻辑电路产生的进位信号和四位串行加法器产生的进位信号做或运算,变可以得到满足设计要求的进位信号。 当和大于9时,怎样得到正确的计算结果呢?由于十进制是到十时产生进位信号,而四位二进制是到十六时才产生进位信号,因此,我们可将串行加法器得到的大于9的二进制数再加上0110(六)后,这样我们就可以得到正确的运算结果。 十位运算和个位运算方式相同,不再赘述。 上述为加运算,下面介绍减运算。 在二进制数做减运算时,减去一个数,等于加上一个数的补码,因此,我们用异或运算电路和进位信号来产生一个负数的补码,这样我们就把减法运算变成了加法运算,后便的运算和加法相同。 显示单元电路: 显示单元电路主要由数码显示管构成,将运算电路中个位和十位的输出分别接至数码管的输入端,这样就得到了我们所需要的十位的运算结果。 方案比较: 方案一运算器的运算速度较方案一快,但是设计复杂,成本较高。方案二所用的器件数量较多,计算速度较慢,但是设计简单。 (2)单元电路设计 74LS147 二—十进制编码器编码电路 二—十进制编码器是一种将十进制数转化为二进制数的芯片,它能将0—9十个输入信号分别译成十个BCD代码,在0—9十个输入信号中9的优先权最高,0的优先权最低。 U1 74LS147D A 9 B 7 C 6D 14 31341522121118574639 10 二进制数计算电路 在二进制数进行计算时,主要采用74LS283完成计算功能其中异或门电路控制电路的加减运算,当异或门控制信号等于0时,电路执行加运算,当异或门控制信号为1时,电路执行减运算。 电路采用个位和个位相加,十位和十位相加的原则,下边的电路图为个位相加时的电路,十位加减运算时的电路与其相同,

数字逻辑电路课程课程设计--简易加减计算器

摘要 本次课程设计的任务是设计一个具有加减运算功能的简易计算器,并通过合适的方式来显示最后的计算结果。此次设计电路的完成主要是利用简单的数字电路和电路逻辑运算来进行的。简易加减计算器电路主要是对数据的输入与显示,数据的加减运算,数据的输出与显示三个主要的方面来设计研究完成的。 在输入电路的部分,我们通过开关的闭合与断开来实现数据的输入,开关闭合接入高电平“1”,断开接入低电平“0”。而输入的数据将通过显示译码管以十进制的形式显示出来。由于输入二进制的位数较多,我们采用个位十位分别输入的方式来简化电路。 加减运算电路则主要通过加法器来实现的。设计电路时,我们将个位和个位、十位和十位分别接入一片加法器。在进行加法运算时我们所选择的加法器是完全符合要求的,但是在进行减法运算时加法器就不能满足我们的设计要求了。因此我们将减法转换为加法进行运算,运算时采用补码的形式。在进行减法时通过异或门将减数的原码全部转换为补码,输入加法器中进行相加。最后将进位信号加到十位的运算电路上就实现了加减法的运算电路。 在显示电路中,由加法器输出的数据是二进制码。这些码可能表示超过十的数字,所以显示译码管就不能正确的显示出数字了。此时要将二进制转化成BCD码,再将BCD 码送到显示译码管中就可以将计算所得的数字显示出来了。

概述

1.1设计题目: 简易加减计算器 1.2设计任务和要求: 1)用于两位以下十进制数的加减运算。 2)以合适的方式显示输入数据及计算结果。 1.3设计方案比较: 方案一:输入十进制的数字,再通过编码器对十进制的数字进行编码,输出二进制的数据。运用显示译码器对输入的数字以十进制的形式进行显示。在进行加减计算的时候将二进制数字运用数模转换,然后再进行相加减。然后将这些模拟信号再次转换成数字信号转换成数字信号,再将数字信号输入到显示译码管中来显示数剧。这个方案中要进行数模转换和模数转换所需要的电路器件有些复杂,并且转换的时候需要很长的时间,而且转换以后数值的精度不高。鉴于这种方案中有太多的缺点,所以没有采用。 方案二:输入十进制的数字,并对数字进行编码,通过显示译码管将输入的数字显示出来。再将编码所得的二进制数字求取补码,将补码送到串行进位加法器中进行相加。最后将二进制码转换成BCD码输入到显示译码管中,从而就可以将相加以后所得的数字显示出来。这个方案中避免了像方案一中需要大量的时间和精度不高的缺点,但是由于应用了串行加法器的缘故,电路会比较复杂,不利于进行连接。 方案三:本方案采用十位和十位相加,个位和个位相加的方法,将个位和十位分别输入到电路中。由于输入的数字是二进制码,将二进制码输入到显示译码管中就可以显示输入的数字。相加或者相减的时候采用补码的形式通过加法器进行相加。再将二进制转化成BCD 码输入到显示译码管中就可以将相加所得数字显示出来。此方案中运用了较少的原件并且运行所需的时间很少。相对方案一和方案二。这种方案是最好的,所以就采用了这种方案。 1.4设计原理:

数电加减法电路

目录 摘要........................................................................ I Abstract ................................................................... II 1芯片介绍 (1) 1.1 74LS283芯片 (1) 1.2 74LS194芯片 (1) 2理论分析 (2) 2.1寄存器的选择 (2) 2.2 CP脉冲信号的产生 (2) 2.2电路结构图 (2) 2.3电路设计 (3) 2.4 总电路图 (5) 3电路仿真 (7) 4电路实物焊接 (8) 5心得与体会 (9) 参考文献 (10)

摘要 数字电子技术是电信专业的必修基础课程之一,数电从基本入手,讲述了数字电路的基本知识,以及如何分析数字电路和设计数字电路的基本理论和基本方法。数字电路系统多由逻辑门电路,加法器,比较器,计数器,译码器,移位寄存器,锁存器等一个或者多个组成,本次课程设计需要设计一个全加减法器,通过74LS283以及74LS194和一些异或逻辑门电路即可实现,然后可利用multism软件进行仿真实现。根据电路图和给定的原件参数,使用multism软件模拟电路,并对其进行静态分析,动态分析,计算数据等操作。 关键字:加法器,异或门,multism。

Abstract Digital electronic technology is one of the compulsory basic courses in Telecom, a few basics about basic knowledge of digital circuit, as well as how to analyze digital circuits and digital circuits design of the basic theories and methods. Digital circuit logic gate circuits, Adders, comparators, counters, decoders, shift registers, consists of one or more such as latch, this curriculum design need to design an addition and subtraction, by 74LS283 and 74LS194 and XOR logic gate circuits can be realized, can then use multism software simulation. According to the circuit diagram and original of the given parameter, use multism software analog circuits, and static analysis, dynamic analysis, calculations, and so on. Keywords:Adder, exclusive-or gate, multism.

加法运算器(数电课设).docx

目录 第一章设计题冃 (1) 1.1设计任务 (1) 1. 2设计要求 (1) 1.3课程设报告的基本要求 (2) 第二章设计框图 (2) 第三章设计思路 (3) 第四章原理图设计 (4) 4. 1编码器74147的电路设计 (4) 4. 2寄存器74LS374N的电路设计及工作原理 (5) 4. 2. 1寄存器74LS194N电路设计及工作原理 (7) 4. 3加法器74S283N的连接及工作原理 (8) 4. 3. 1加法电路真值表 (9) 第五章工作过程 (10) 第六章元器件清单 (11) 6.1主要元器件介绍 (11) 6. 1. 1功能表 (11) 6.2 寄存器74LS374N (12) 6. 2. 1引脚图及功能表如图6. 3、6.4所示 (12) 6. 3 加法器74S283N (13) 6. 3. 1引脚图及功能表 (13) 第七章总结 (15)

第八章致谢 (16) 第一章设计题 1.1设计任务 本周课程设计,我选择的题目是第4个“加法运算电路”。根据本次课程设计的要求,我需要设计能进行两个四位二进制数加法的运算电路,以及发挥部分的显示结果和进行减法运算。 置入两个四位二进制数(要求置入的数小于1010),如(1001) 2和(0111),同时在两个七段译码显示器上显示出对应的十进制数; 通过开关选择运算方式加或者减;若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;前面所得结果通过另外两个七段译码器显示。 1.2设计要求 基本要求: (1)设计全加器工作单元; (2)能进行四位二进制数的加法运算电路; (3)用数码管以十进制形式显示最后运算结果 发挥部分:(1)减法运算电路;(2)按键声音 总体要求:

相关主题
相关文档
最新文档