加减法运算电路

一、设计目的

把握电子电路的一样设计方式和设计流程;

二、学习利用PROTEL软件绘制电路原理图及印刷板图;

三、把握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正确

性。

四、设计要求

-1. 设计寄放器单元。

2.设计全加器单元。

3. 设计7487(或74LS87)互补器单元

五、设计内容及原理图,仿真图

算术逻辑电路设计

一、半加法器

半加法器是个能计算两个二进制位和的算术电路,该电路有两个输入及两个输出。其中输入

是被加数两位,而输出分是和与进位位。

真值表:

输入输出

x y carry sum

0 0 0 0

0 1 0 1

1 0 0 1

1 1 1 0

半加器电路绘制

其输出函数表示式:

=

=

sum⊕

+

y

x

y x

y x

carry=

xy

半加器电路符号

半加器电路功能模拟结果

二、全加法器

全加法器是求三个输入位算术和的组合电路,它包函三个输入位与两个输出位。其中两个输入(x和y)代表要相加的两个有效位,第三个输入(z)代表由次低有效位置所产生的进位。

真值表:

输入输出

x y z carry sum

0 0 0 0 0

0 0 1 0 1

0 1 0 0 1

0 1 1 1 0

1 0 0 0 1

1 0 1 1 0

1 1 0 1 0

1 1 1 1 1

全加器电路绘制

其输出函数表示式:

=

+

+

=

+

sum⊕

xyz

z

z y x

x

y

z y x

z y x

+

=

carry+

yz

xy

xz

产生的全加器电路符号

全加器电路功能模拟结果。

四位加法器设计方式

1:四位加法器电路绘制

四位加法器

成立电路symbol

在成立电路symbol专门要注意的一点是假设z0(进位输入第一级)仍是接地的话,那么此4bit-adder将无法用来串接以产生8bit-adder电路,故咱们应将该接脚改成input符号,以产生一个可供串接的电路符号。

2 时序图编辑环境的功能仿真

在waveform editor环境中,咱们可将四个相关的位结合成一个群组,以方便阅读和分析;其作法是先将要设定成群组的数个位标记反白,再按「鼠标右键/ Enter Group 」即可产生图5-9的结果(取消群组的作法也差不多,只要按鼠标右键选择「ungroup」即可回恢复先画面)。

四位加法器

将相关位作群组化的结果

八位加法器电路图

三、减法器

2’s 补码减法器的设计

在微处置机世界的减法作法多采减数再加上被减数的2补码,2’s 补码的作法是先求得二进制位的1补码再加1:

被减数0011的1’s 补码是1100,二进制1100+1=1101即为2’s 补码

ex :000111001101- ⇒ 0

00110111101+

[2’s补码之补充说明]

1. 1’s补码的作法即将二进制位组0变1,1变成0即可。例如0111之1’s补码为1000。

2. 2’s补码(2’s complement)之概念为在1’s的补码再加上1以后所得的新数,其作法如下:

若A=0111 ,那么其1’s补码为1000

而其2’s补码为1000+1=1001

3. 双重补码:

假设将一数取两次2’s补码,那么将取得原先的数字,例如:

A=0111 ,其2’s补码A’为1001,再取一次2’s补码后A’’=0111。

这表示出「A的双重补码等于A」。因为有此特性,因此二进制数字之2’s补码等于十进制之负号。

以真值表来看,二进制的半减法器在半加法器上加上一个反向器:

真值表:

输入输出

X减数Y被减数D差B借位

0 0 0 0

0 1 1 1

1 0 1 0

1 1 0 0

a 半减法器

b 半减法器电路符号

a 4bit减法器

b 4bit减法器功能仿真

练习:以全加法器为基础,完成一个四位加法-减法器电路设计。

功能说明:

1.当操纵输入讯号cr为0时,XOR gate变成缓冲器利用,现在电路是x[3..0]和y[3..0]的相加。

2.当操纵输入讯号cr为1时,第一级加法器进位输入为1,而y[

3..0]均发生反相,电路功能是2’s补码减法器。

a 4bit加法-减法器电路设计

b 4bit加法-减法器功能仿真

六、 PCB板

七、电路图

八、心得体会

通过两个礼拜的编译原理课程设计,本人顺利完成该课程设计。通过该课程设计,收成颇多。

一、对实验原理有更深的明白得

通过该课程设计,把握了什么是加减法运算电路,,熟悉了编译程序总流程框图,了解了protel,ewb软件。

二、对该理论在实践中的应用有深刻的明白得

通过上网查资料,学习了protel,ewb软件,了解了它们的原理,通过认真的学习和实践,大体把握的这两个软件的用法,但还很不做,需要在以后的学习生活中去研究实践。

三、明白得了该知识点和学科之间的融合渗透

本次课程设计程序部份是用VHDL语言编写的,把《VHDL与硬件集成速成》,《编译原理》,《算法分析与设计》,《protel99》等几门学科联系起来,把各个学科之间的知识融合起来,把各门课程的知识联系起来,对编写程序,仿真图片有了加倍深刻的了解。使我加深了对这几门学科的熟悉。

四、熟悉到的不足

通过这次课程设计,我发觉了自己有很多的不足的地方:

1、第一感觉自己的专业知识还不够扎实,有很东西需要用的时候都想不起来。

比如

2、动手能力相对较差,比如有很多画图都自己做不出来,要请教教师和同窗。我要在以后的学习中要注意增强专业知识的学习,增强动手能力。

数字电路课程设计之加减法运算电路设计(1)

设计资料1 加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 置数 开关选择运算方式 加法运算电路 减法运算电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端

数电加减运算电路课程设计

西安建筑科技大学华清学院课程设计(论文) 课程名称:数字电子技术基础 题目:加减法运算电路 院(系):机械电子工程系 专业班级:电子信息科学与技术0801班 姓名:郭亚莉 学号:0806030130 指导教师:田珊珊 2010年 12月 28日

目录 一、设计任务 (3) 二、设计方案 (3) 三、电路设计 (5) 四、电路图的仿真及检测 (10) 五、收获与心得体会 (13)

加减运算电路设计 第一部分设计任务 一、设计任务 1、设计一个一位十进制并行加/减法运算电路;通过按键输入被减数和减数,并设置+、-号按键;允许减数大于被减数,负号可采用数码管或其他显示器件,并利用LED灯显示计算结果。 2、提出至少两种设计实现方案,并优选方案进行设计。 二、设计目的 1、综合运用相关课程中所学到的知识去完成设计课题。 2、熟悉常用芯片和电子器件的类型及特性,掌握合理选用器件的原则。 3、学会电路的设计与仿真。 4、通过查阅手册和相关文献资料,培养学生独立分析和解决问题的能力。 5、培养严肃认真的工作作风和严谨的科学态度。 第二部分设计方案 一、设计电路原理 图2-1加减运算原理框图

如图2-1所示 第一步置入两个四位二进制数。例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。 第二步通过开关选择加(减)运算方式; 第三步若选择加运算方式所置数送入加法运算电路进行运算;同理若选择减运算方式,则所置数送入减法运算电路运算; 第四步前面所得结果通过另外两个七段译码器显示。即: 若选择加法运算方式,则(1000)2+(0110)2=(1110)2十进制8+6=14 并在七段译码显示器上显示14。 若选择减法运算方式,则(0101)2-(1000)2=(10011)2十进制5-8= -3 并在七段译码显示器上显示-3。 二、运算方案 1、方案一 通过开关J1——J8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U10和U13分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关J5——J8,通过开关J5——J8控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关J1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)2时加上3(0011)2,产生的进位信号送入译码器U15来显示结果的十位,U14显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U14即可显示结果。 2、方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四

加减法运算电路设计

加减法运算电路设计 1.设计内容及要求 1.设计一个4位并行加减法运算电路,输入数为一位十进制数,且作减法运算时被减数要大于或等于减数。 2.led 灯组成的七段式数码管显示置入的待运算的两个数,按键控制运算模式,运算完毕,所得结果亦用数码管显示。 3.提出至少两种设计实现方案,并优选方案进行设计 2.结构设计与方案选择 2.1电路原理方框图 电路原理方框图如下 → → 图1-1二进制加减运算原理框图 如图1-1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。 即: 若选择加法运算方式,则(1001)2+(0111)2=(10000)2 十进制9+7=16 并在七段译码显示器上显示16. 若选择减法运算方式,则(1001)2-(0111)2=(00010)2十进制9-7=2 置数 开关选择运算方式 加法运算电路 减法运算 电路 译码显示计算结果 显示所置入的两个一位十进制数

并在七段译码显示器上显示02. 2.2加减运算电路方案设计 2.2.1加减运算方案一 如图2-2-1所示:通过开关S2——S9接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U13和U15分别显示所置入的两个数。数A 直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S6——S9,通过开关S6——S9控制数B的输入。当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。当开关S1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B (反码)+1,实际上其计算的结果为S=A-B完成减法运算。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110),产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。由于减法运算时两个一位十进制数相减不会大于10,所以不会出现上述情况,用一片芯片U11即可显示结果。 2.2.2加减运算方案二 由两异或门两与门和一或门组成全加器,可实现一位二进制加逻辑运算,四位二进制数并行相加的逻辑运算可采用四个全加器串行进位的方式来实现,将低位的进位输出信号接到高位的进位输入端,四个全加器依次串行连接,并将最低位的进位输入端接逻辑“0”,就组成了一个可实现四位二进制数并行相加的逻辑电路。 通过在全加器电路中再接入两个反相器可组成一个全减器,实现一位二进制减逻辑运算,将来自低位的错位信号端接到向高位借位的信号端,依次连接四个全减器,构成可实现四位二进制数并行进行逻辑减运算的电路。 在两组电路置数端接开关控制置数输入加法还是减法运算电路,电路输出端接LED灯显示输出结果,输出为五位二进制数。

4位加减法并行运算电路(包括拓展8位)

二○一二~二○一三学年第一学期 电子信息工程系 脉冲数字电路课程设计 报告书 班级:电子信息工程(DB)1004班 课程名称:脉冲数字电路课程设计 学时: 1 周 学生姓名: 学号: 指导教师:廖宇峰 二○一二年九月

一、设计任务及主要技术指标和要求 设计目的 1. 掌握加/减法运算电路的设计和调试方法。 2. 学习数据存储单元的设计方法。 3. 熟悉集成电路的使用方法。 设计的内容及主要技术指标 1. 设计4位并行加/减法运算电路。 2. 设计寄存器单元。 3. 设计全加器工作单元。 4. 设计互补器工作单元。 5. 扩展为8位并行加/减法运算电路(选作)。 设计的要求 1. 根据任务,设计整机的逻辑电路,画出详细框图和总原理图。 2. 选用中小规模集成器件(如74LS 系列),实现所选定的电路。提出器材清单。 3. 检查设计结果,进行必要的仿真模拟。 二、方案论证及整体电路逻辑框图 方案的总体设计 步骤一 因为参与运算的两个二进制数是由同一条数据总线分时串行传入,而 加法运算的时候需要两个数的并行输入。所以需要两个寄存器分别通过片选信号,依次对两个二进制进行存储,分别在寄存器的D c B A Q Q Q Q 端口将两个4位二进制数变成并行输出; 步骤二 为了便于观察置入两个4位二进制数的数值大小,根据人们的习惯,在寄存器的输出端,利用两个七段译码器将二进制数转化为十进制数; 步骤三 通过开关选择加/减运算方式; 步骤四 若选择加法运算方式,对所置入数送入加法运算电路进行运算; 即:9)1001()0110()0011(222==+ 【十进制:963=+】

8位可控加减法电路设计

8位可控加减法电路设计 电路设计是电子学的核心内容之一,也是实际应用中最为常见的任务 之一、在这个任务中,我们需要设计一个8位可控加减法电路。这个电路 可以实现8位数的加法和减法运算,并且可以根据输入的控制信号来选择 是进行加法还是减法运算。 在我们的电路设计中,我们将使用逻辑门和触发器来实现这个功能。 首先,我们需要一个8位的加法器和一个8位的减法器,这样才能实现加 法和减法运算。我们可以使用全加器来设计8位的加法器,该全加器可以 用逻辑门和触发器来实现。接下来,我们需要一个8位的选择器,该选择 器可以根据输入的控制信号来选择是进行加法还是减法运算。最后,我们 需要一个8位的寄存器,该寄存器可以保存加法或减法运算的结果。 下面是我们的电路设计的详细步骤: 1.首先,我们需要实现一个全加器。全加器的输入包括两位的输入数 和一个进位。全加器的输出包括一个和位和一个进位。我们可以使用逻辑 门和触发器来实现全加器。具体实现方法可以参考全加器的电路原理图。 2.然后,我们需要把8个全加器连接在一起,形成一个8位的加法器。将输入的两个8位数和一个进位信号分别连接到每个全加器的输入端,将 每个全加器的和位依次连接到寄存器中,将每个全加器的进位依次连接到 下一个全加器的进位输入端,最后一个全加器的进位输出端不需要连接。 3.接下来,我们需要实现一个8位的减法器。减法器的输入包括两个 8位的输入数和一个借位。减法器的输出是一个差位和一个借位。我们可 以使用逻辑门和触发器来实现减法器。具体实现方法可以参考减法器的电 路原理图。

4.然后,我们需要把8个减法器连接在一起,形成一个8位的减法器。将输入的两个8位数和一个借位信号分别连接到每个减法器的输入端,将 每个减法器的差位依次连接到寄存器中,将每个减法器的借位依次连接到 下一个减法器的借位输入端,最后一个减法器的借位输出端不需要连接。 5.最后,我们需要实现一个8位的选择器。选择器的输入包括两个8 位的输入数和一个控制信号。选择器的输出是一个8位的数。如果控制信 号为0,则选择器的输出等于输入数的和位;如果控制信号为1,则选择 器的输出等于输入数的差位。 6.最后,我们将选择器的输出连接到寄存器中,以保存加法或减法运 算的结果。 以上是我们8位可控加减法电路的设计过程。通过逻辑门和触发器的 组合,我们可以实现8位数的加法和减法运算,并且可以根据输入的控制 信号来选择是进行加法还是减法运算。这个电路设计在实际应用中有着广 泛的用途,可以应用于各种计算和控制系统中。

数电加减法电路

目录 摘要........................................................................ I Abstract ................................................................... II 1芯片介绍 (1) 1.1 74LS283芯片 (1) 1.2 74LS194芯片 (1) 2理论分析 (2) 2.1寄存器的选择 (2) 2.2 CP脉冲信号的产生 (2) 2.2电路结构图 (2) 2.3电路设计 (3) 2.4 总电路图 (5) 3电路仿真 (7) 4电路实物焊接 (8) 5心得与体会 (9) 参考文献 (10)

摘要 数字电子技术是电信专业的必修基础课程之一,数电从基本入手,讲述了数字电路的基本知识,以及如何分析数字电路和设计数字电路的基本理论和基本方法。数字电路系统多由逻辑门电路,加法器,比较器,计数器,译码器,移位寄存器,锁存器等一个或者多个组成,本次课程设计需要设计一个全加减法器,通过74LS283以及74LS194和一些异或逻辑门电路即可实现,然后可利用multism软件进行仿真实现。根据电路图和给定的原件参数,使用multism软件模拟电路,并对其进行静态分析,动态分析,计算数据等操作。 关键字:加法器,异或门,multism。

Abstract Digital electronic technology is one of the compulsory basic courses in Telecom, a few basics about basic knowledge of digital circuit, as well as how to analyze digital circuits and digital circuits design of the basic theories and methods. Digital circuit logic gate circuits, Adders, comparators, counters, decoders, shift registers, consists of one or more such as latch, this curriculum design need to design an addition and subtraction, by 74LS283 and 74LS194 and XOR logic gate circuits can be realized, can then use multism software simulation. According to the circuit diagram and original of the given parameter, use multism software analog circuits, and static analysis, dynamic analysis, calculations, and so on. Keywords:Adder, exclusive-or gate, multism.

数字电子技术加减法运算电路设计课程设计报告

《数字电子技术》课程设计加/减法运算电路设计 **大学信息科学与技术学院 电子信息工程系****级 ****年*月*日

加/减法运算电路设计 一、系统设计任务及要求 内容及要求: 1)测量信号为正弦波、方波和三角波等各种周期波。 2)用触发器设计分频电路和工作时序图。 3)设计由触发器构成的数字频率计电路原理图。 二、系统设计目的 利用所学数字电子技术的理论知识设计一个数字频率计,可以测量矩形信号、正弦信号等波形的工作频率。 三、系统设计实现 1.系统概述 分析: 和(0111),第一步:置入两个四位二进制数(要求置入的数小于1010),如(1001) 2 同时在两个七段译码显示器上显示出对应的十进制数9和7; 第二步:通过开关选择运算方式加或者减; 第三步:若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算; 第四步:前面所得结果通过另外两个七段译码器显示。 2.单元电路详细设计与分析 实验电路: 编码器单元电路: 在该方案中,编码器采用二——十进制编码器,将输入的十进制数值译为对应的BCD码的反码,反码取反后送到加法运算电路中。 加减运算电路: 加减运算电路主要采用由74LS183(双全加器)组成的四位串行加法,电路采用个位和个位相加,十位和十位相加的形式,在个位相加所得结果大于9的

二进制数时,用组合逻辑电路产生进位信号,但是由于用二进制数表示的个位相加的和不大于十五,这样,在和为16—18时,由刚才的组合逻辑电路就不能产生进位信号,但是这是四位串行加法器恰可以产生进位信号,所以,若将上述组合逻辑电路产生的进位信号和四位串行加法器产生的进位信号做或运算,变可以得到满足设计要求的进位信号。 当和大于9时,怎样得到正确的计算结果呢?由于十进制是到十时产生进位信号,而四位二进制是到十六时才产生进位信号,因此,我们可将串行加法器得到的大于9的二进制数再加上0110(六)后,这样我们就可以得到正确的运算结果。 十位运算和个位运算方式相同,不再赘述。 上述为加运算,下面介绍减运算。 在二进制数做减运算时,减去一个数,等于加上一个数的补码,因此,我们用异或运算电路和进位信号来产生一个负数的补码,这样我们就把减法运算变成了加法运算,后便的运算和加法相同。 显示单元电路: 显示单元电路主要由数码显示管构成,将运算电路中个位和十位的输出分别接至数码管的输入端,这样就得到了我们所需要的十位的运算结果。 方案比较: 方案一运算器的运算速度较方案一快,但是设计复杂,成本较高。方案二所用的器件数量较多,计算速度较慢,但是设计简单。 (2)单元电路设计 74LS147 二—十进制编码器编码电路 二—十进制编码器是一种将十进制数转化为二进制数的芯片,它能将0—9十个输入信号分别译成十个BCD代码,在0—9十个输入信号中9的优先权最高,0的优先权最低。 U1 74LS147D A 9 B 7 C 6D 14 31341522121118574639 10 二进制数计算电路 在二进制数进行计算时,主要采用74LS283完成计算功能其中异或门电路控制电路的加减运算,当异或门控制信号等于0时,电路执行加运算,当异或门控制信号为1时,电路执行减运算。 电路采用个位和个位相加,十位和十位相加的原则,下边的电路图为个位相加时的电路,十位加减运算时的电路与其相同,

一位十进制加减法运算电路调试可能出现的问题

一位十进制加减法运算电路调试可能出现的问题 一位十进制加减法运算电路调试可能出现的问题 问题背景 在数字电路设计中,一位十进制加减法运算电路是一种常见并且 基础的电路。它可以实现对两个十进制数进行加法或减法运算。然而,调试这样的电路可能会遇到一些问题和挑战。本文将探讨一位十进制 加减法运算电路调试的可能问题。 问题一:加法器输出错误 在一位十进制加法电路中,可能会发现输出结果与预期不符的情况。这可能是由于以下原因导致的: •电路连线错误:检查电路的连接是否正确,包括输入信号线和输出信号线的连接方式。 •逻辑门故障:逻辑门可能存在故障或损坏,导致输出结果错误。 检查逻辑门的输入和输出情况,确保其工作正常。 •耦合和干扰:电路中可能存在耦合和干扰问题,导致信号传输出现错误。检查电路的布局和线路排布,确保信号的稳定传输。

问题二:减法器输出错误 减法器是一位十进制加减法电路中的重要组成部分。如果减法器 的输出结果不正确,可能是由以下原因导致的: •输入信号错误:检查输入信号的键入或输入方式是否正确。确认输入的十进制数和运算符是否与预期一致。 •线路接触问题:减法器的输入和输出信号线可能存在接触问题,导致信号传输错误。检查线路连接的稳定性和可靠性。 •减法器电路故障:减法器本身可能存在故障或损坏,导致输出结果错误。检查减法器的输入和输出情况,确保其工作正常。 问题三:进位和借位问题 在一位十进制加减法电路中,进位和借位是常见的问题。可能会 出现以下情况: •进位或借位丢失:电路中的进位或借位信号可能丢失或无法传递,导致最终结果错误。检查进位和借位信号的传输情况,确保信号 的准确传递。 •进位和借位的计算错误:进位和借位的计算可能存在错误,导致最终结果错误。检查进位和借位的计算逻辑,确保计算的准确性 和正确性。 解决方案 针对上述问题,我们可以采取以下的解决方案:

模电加减法运算电路实验总结

模电加减法运算电路实验总结 对于学生而言,这个内容有点陌生。因此,他们对这门课的兴趣不高。为了改变这种现状,我从制作思路和如何提高学生学习兴趣方面着手准备了这份材料。经过两周的努力终于完成了本节的内容。通过此次模电的教学实践,使自己对于模电课程又重新认识与理解。下面具体谈谈在教学中得出的几点体会: 模拟电子技术课程的教学过程中涉及到许多加减乘除运算电路。由于以前从未接触过类似知识,因此觉得它比较难学,尤其是加减乘除运算电路。因此,给同学们带来很多麻烦,每当老师讲授加减乘除运算电路时,他们都显得无精打采的样子。久而久之导致了对模电课程产生厌倦情绪。针对这些问题,首先我尝试寻找问题的根源所在,然后再根据问题的症结去处理问题。最后将问题解决掉。由于实际的应用环境并非像理论书上说的那么复杂。也正好符合学生感官的直观认识。因此可以直观地理解。还有,从日常生活中可以发现,加减乘除运算电路在我们日常生活中十分普遍,只要稍微留意一下就能找到相关事例。所以在本节课上讲述了电子计算机的起源——算盘。为什么算盘被淘汰?是否取代算盘?让学生清楚算盘存在的历史背景,明白算盘没落的真正原因。在教学中利用计算机绘图软件画出的电路图帮助学生更快速地掌握了算盘的工作原理。避免了枯燥乏味的抽象介绍。学生通过动态的电路演示就会马上想到算盘怎么用,只需鼠标轻松一点即可进行加减乘除运算。 模电的数字部分主要是指:二进制数,0,1。数码管和译码器是

二进制的主要载体。在计算机中,二进制运算的运算规则是固定的。而0,1的表示却灵活多变。它既能够用来表示逻辑0,1的位置,还能表示某个信号。随着科技的发展,人们开始研究用0,1来编写加减乘除等功能的数字逻辑电路,把它称为逻辑电路。 在本次课上我们将讲解的是用集成电路制作电子计算器的原理。为什么用集成电路呢?一般说来,只要满足晶体管有输入端口、输出端口,输出级的输出信号为正或负即可;另外集成电路便宜、易于操作,能够做出各种各样的形式,且易于修改。

实验二比例和加减法运算电路

实验二比例和加减法运算电路 一、实验目的 1、理解运算放大器的基本性质和特点。 2、熟悉集成运放构成的几种运算电路的结构及特点,测定其运算关系。 3、学习运算放大器的线性电路和非线性电路的应用。 二、实验仪器及原件 1.双踪示波器(SS-7804型)1台 2.信号发生器(EE1641D型)1台 3.数字万用表(DT890型)1只 4.直流电源(0~5V某2可调)1台 5.实验板1块 6.连接导线若干 三、实验原理 图2.1是与实验板相近的电路,图中D1D2为正负电源接错保护,加入D1D2后集成电路上的电压仅为11.4V左右。C1C2为去耦电容,滤除由电源引入的高次谐波。D3D4为集成电路输入端过电压保护,500Ω电阻R 为集成电路输出保护,其均已连接好,放在实验板面背后。注意:后续实验,凡有集成电路的实验电路,均有以上元件,且已连接好。 vi2-vi1vi1反相比例、减法电 R111′S110kΩRf1Rf2100kΩ50kΩ+12VC10.1μFD2D3D4_12RCA3140500Ωv o+D2C20.1μFRp33410kΩ-12V减法电路 Rf3100kΩR275S2vi2Rf450kΩv10kΩS3R3vi310kΩ反相加法电路图2.1电压跟随、比例、加减运算电路原理图 6⒈电压跟随电路

电压跟随电路如图2.2所示。电路为电压串联深度负反馈,因此,具有输出电阻很低,输入电阻很高的特点,一般用于信号隔离。输入与输出间的关系为:vO=vI。 ⒉反相比例运算电路 图2.3是反相比例电路的原理图。输出与输入间的关系: Rf 100kΩ+12V+R2np_+A-12Vnp_++12VA-12Vvi10kΩvo=vi vivo=vi (a) 图2.2电压跟随器 (b) S1Rf1100kΩRf250kΩRv=-vRfoIPR1_++12V同相输入端与地之间的电阻称平衡电阻。其值应 为:RP=Rf//R1 vi10kΩRp=R1//Rfvo-12V⒊同相比例运算运算电 同相比例电路如图2.4所示。输入与输出间的关系:图2.3反相比例运算电路 vo=(1+R)vRfIPRfR110kΩ100kΩ平衡电阻R2应为:R2=Rf//R1,本实验可取10kΩ。 vnif+12V⒋减法(差分)运算电路

减法运算电路

减法运算电路 减法运算电路有四种: 1、单运放减法电路。 2、差分输入组态电路。 在满足 21R R = [] 121 i i f o U U R R U -= f R R =3 方法一:依据法则列出 f I I =1 分别求出 ?=- 根据+-=U U 32I I = ?=+U 得 出 o U 与输入量的关系 方法二:由迭加原理求出-U 和+U ⎥⎥⎦⎤⎢⎢⎣⎡+-=+++= -f o i o f i f f R U R U R U R R R U R R R U 11 11 1 1 f R R R //1=- 22 23 23R U R U R R R U i i ⋅=+= ++ 32//R R R =+ +-=U U 11 2 2R U R R U R R R U i f i f o ⋅ -⋅ ⋅=∴- + (可推广的例子) 当两输入端外电路平衡时,+-=R R ,则2 1 22 i f i f o U R R U R R U - = 当 f R R R ==21时, 则 1 2i i o U U U -= 3、加减混合运算电路 特点: 加量从同相端加入 减量从反相端加入 依据: ==+ -i I U U 方法一:依据法则列出方程 f I I I =+21 然后求解?? ==+-U U 5 43I I I =+ 寻找出o U 与输入量的关系 方法二:利用迭加原理分别得到+-U U .或直接由推广式得出: ⎥⎦⎤ ⎢⎣⎡+-⎥⎦⎤⎢⎣⎡+⋅= -+ 2211443 3R U R U R R U R U R R R U i i f i i f o

(5 215 42////////R R R R R R R R ==-+) 当两输入端外电路平衡时,. +-=R R 2 2 11 41 33 i f i if i f i f o U R R U R R U R R U R R U - - + = 当f R R R R R R =====54321时, [] 21431 i i i i f o U U U U R R U --+= 当 f R R =1时,1234i I i i o U U U U U --+= (实现了加减混合运算) 4、双运放减法电路 特点: 由两级运放组成 第一级的输出为第二级的一个输入信号 4 22 11111i i f i f o U U R R U R R U =⎥⎦⎤ ⎢⎣+-= ⎥⎦⎤ ⎢ ⎣⎡++-=⎥⎦ ⎤⎢⎣⎡+-=22211142332442332i f i f f i f i f i f o U R R U R R R R U R R U R R U R R U 可见,加减混合运算亦可由两级反相求和电路来完成。

电路相量法的加减运算公式

电路相量法的加减运算公式 电路相量法是电路分析中常用的一种方法,可以通过相量的加减运算来简化复杂的电路计算。下面将为大家介绍电路相量法的加减运算公式。 在电路分析中,经常会遇到需要计算电压、电流和功率的情况。而电路相量法可以将这些量用相量的形式表示,从而简化计算过程。在进行电路相量法的加减运算时,我们需要了解一些基本的公式。 我们来看电压的相量运算。电压相量的加减运算可以用以下公式表示: U = U1 + U2 其中,U表示总电压,U1和U2分别表示两个电压源的相量。这个公式的意思是,将两个电压源的相量相加,得到总电压的相量。 接下来,我们来看电流的相量运算。电流相量的加减运算可以用以下公式表示: I = I1 + I2 其中,I表示总电流,I1和I2分别表示两个电流源的相量。这个公式的意思是,将两个电流源的相量相加,得到总电流的相量。 我们来看功率的相量运算。功率相量的加减运算可以用以下公式表

示: P = P1 + P2 其中,P表示总功率,P1和P2分别表示两个功率源的相量。这个公式的意思是,将两个功率源的相量相加,得到总功率的相量。 通过以上的公式,我们可以进行电路相量法的加减运算。在实际应用中,我们可以根据具体的电路情况,将电压、电流和功率用相量的形式表示,然后根据公式进行相应的计算。这样可以简化电路分析的过程,提高计算的效率。 需要注意的是,在进行电路相量法的加减运算时,我们需要将相量的大小和方向考虑在内。相量的大小表示了电压、电流或功率的大小,而相量的方向表示了电压、电流或功率的方向。在进行相量的加减运算时,我们需要同时考虑相量的大小和方向,确保计算结果的准确性。 电路相量法的加减运算公式可以简化复杂的电路计算,提高计算的效率。通过将电压、电流和功率用相量的形式表示,并根据相量的加减运算公式进行计算,我们可以更方便地分析电路的特性和性能。在实际应用中,我们可以根据具体的电路情况,灵活运用电路相量法,解决各种电路分析问题。

比例及加减运算电路实验报告

竭诚为您提供优质文档/双击可除比例及加减运算电路实验报告 篇一:实验四比例求和运算电路实验报告 实验四比例求和运算电路 一、实验目的 1.掌握用集成运算放大器组成比例、求和电路的特点及性能。2.学会上述电路的测试和分析方法。 二、实验仪器 1.数字万用表 2.信号发生器 3.双踪示波器 其中,模拟电子线路实验箱用到直流稳压电源模块,元器件模组以及“比例求和运算电路”模板。 三、实验原理 (一)、比例运算电路1.工作原理 a.反相比例运算,最小输入信号uimin等条件来选择运算放大器和确定外围电路元件参数。 如下图所示。

10kΩ 输入电压ui经电阻R1加到集成运放的反相输入端,其同相输入端经电阻R2 接地。输出电压uo经RF接回到反相输入端。通常有:R2=R1//RF由于虚断,有I+=0,则u+=-I+R2=0。又因虚短,可得:u-=u+=0由于I-=0,则有i1=if,可得: ui?u?u??uo ?R1RF uoRF? AufuR1i由此可求得反相比例运算电路的电压放大倍数为:??u ?Rif?i?R1?ii? 反相比例运算电路的输出电阻为:Rof=0 输入电阻为:Rif=R1 b.同相比例运算 10kΩ 输入电压ui接至同相输入端,输出电压uo通过电阻RF 仍接到反相输入端。R2的阻值应为R2=R1//RF。 根据虚短和虚断的特点,可知I-=I+=0,则有u?? 且u-=u+=ui,可得: R1 ?uo?ui

R1?RFAuf? R1 ?uo R1?RF uoR?1?FuiR1 同相比例运算电路输入电阻为:Rif?输出电阻:Rof=0 ui ??ii 以上比例运算电路可以是交流运算,也可以是直流运算。输入信号如果是直流,则需加调零电路。如果是交流信号输入,则输入、输出端要加隔直电容,而调零电路可省略。 (二)求和运算电路1.反相求和 根据“虚短”、“虚断”的概念 RRui1ui2u ouo??(Fui1?Fui2) R1R2R1R2RF 当R1=R2=R,则uo??RF(ui1?ui2) R 四、实验内容及步骤 1、.电压跟随电路 实验电路如图1所示。按表1内容进行实验测量并记录。 理论计算:得到电压放大倍数:

4位加减法并行运算电路(包括拓展8位)

4位加减法并行运算电路(包括拓展8位)

二○一二~二○一三学年第一学期 电子信息工程系 脉冲数字电路课程设计 报告书 班级:电子信息工程(DB)1004班 课程名称:脉冲数字电路课程设计 学时: 1 周 学生姓名: 学号: 指导教师:廖宇峰 二○一二年九月

一、设计任务及主要技术指标和要求 ➢ 设计目的 1. 掌握加/减法运算电路的设计和调试方法。 2. 学习数据存储单元的设计方法。 3. 熟悉集成电路的使用方法。 ➢ 设计的内容及主要技术指标 1. 设计4位并行加/减法运算电路。 2. 设计寄存器单元。 3. 设计全加器工作单元。 4. 设计互补器工作单元。 5. 扩展为8位并行加/减法运算电路(选作)。 ➢ 设计的要求 1. 根据任务,设计整机的逻辑电路,画出详细框图和总原理图。 2. 选用中小规模集成器件(如74LS 系列),实现所选定的电路。提出器材清单。 3. 检查设计结果,进行必要的仿真模拟。 二、方案论证及整体电路逻辑框图 ➢ 方案的总体设计 步骤一 因为参与运算的两个二进制数是由同一条数据总线分时串行传入, 而加法运算的时候需要两个数的并行输入。所以需要两个寄存器分别通过片选信号,依次对两个二进制进行存储,分别在寄存器的D c B A Q Q Q Q 端口将两个4位二进制数变成并行输出; 步骤二 为了便于观察置入两个4位二进制数的数值大小,根据人们的习惯,在寄存器的输出端,利用两个七段译码器将二进制数转化为十进制数; 步骤三 通过开关选择加/减运算方式; 步骤四 若选择加法运算方式,对所置入数送入加法运算电路进行运算;

即:9)1001()0110()0011(222==+ 【十进制:963=+】 又或:15)1111()0100()1011(222==+ 【十进制:15511=+】 步骤五 若选择减法运算方式,对所置入数送入减法运算电路进行运算; 即:2)0010()0101()0111(222 ==- 【十进制:257=-】 又或:10)1010()1101()0011(222=-=- 【十进制:10133-=-】 步骤六 为了便于观察最后的计算结果,以及对最后的计算结果的正确性能 做出快速的判断,根据人们的习惯,同上,将计算出的结果输入七段译码器进行译码显示。 ➢ 方案的讨论 【细节一】 用片选信号Load A / Load B 控制寄存器的时候,片选信号可以由数字开关实现,也可以由时序脉冲实现,考虑到实际器件中的运用,选择数字开关作为片选信号。 【细节二】 寄存器应设置有初始化置零(CLEAR 端口)功能,能对运算进行置零处理,增强对加/减寄存器的控制。 【细节三】 通过资料【】可以知道,减法可以转化成加法,进行运算,即 1)(++=+的反码B B A B A ,所以减法器可以在加法器的基础上完成。将减数 通过互补器进行运算,求出补码,即可以在加法器的基础上进行减法的运算。 【细节四】 利用数字开关来选择+/-的运算方式。 【细节五】 作十以内的加法运算的时候,结果可能是 0~18,然而译码器只能显示0~9的范围,所以需要增加一篇译码器,显示计算结果的十位,同时对计算的结果需要做一定的处理,使其各位能正常显示。 【细节六】 作减法运算的时候,会出现减数 A 小于被减数 B 的情况,这个时 候结果为负数,所以需要增设一位显示符号的译码器,对负号进行显示。另外个位显示的译码器只需要显示计算结果的绝对值,需要对计算结果进行取反处理。 【细节七】 作为结果显示的7段式数码管有两种接法,根据选择的数码管的 种类,决定数码管的连接方式,所以连接电路前,首先要判断数码管是共阴极还是共阳极。

相关主题
相关文档
最新文档