集成电路(锁相环)构成的频率解调器_集成电路(压控振荡器)构成的频率调制器

集成电路(锁相环)构成的频率解调器_集成电路(压控振荡器)构成的频率调制器
集成电路(锁相环)构成的频率解调器_集成电路(压控振荡器)构成的频率调制器

实验十 集成电路(压控振荡器)构成的频率调制器

一、实验目的

1.进一步了解压控振荡器和用它构成频率调制的原理

2.掌握集成电路频率调制器的工作原理。

二、预习要求

1.查阅有关集成电路压控振荡器资料。

2.认真阅读指导书,了解566(VOC 的单片集成电路)的内部电路及原理。

3.高清566外接元件的作用。

三、实验仪器设备

1.双踪示波器

2.频率计

3.万用表

4.电容表

5.实验板G5

四、实验电路说明

图9-1为566型单片集成VCO 的框图及管脚排列

图9-1中幅度鉴别器,其正向触发电平定义为Vsp ,反向触发电平定义为VSM ,当电容C 充电使其电压V7(566管脚⑦对地的电压)上升至VSP ,此时幅度鉴别器翻转,输出为高电平,从而使内部的控制电压形成电路的输出电压,该电压Vo 为高电平;当电容C 放电时,其电压V7下降,降至VSM 时高度鉴别器再次翻转,输出为低电平而使Vo 也变为低电平,用Vo 的高、低电平控制S1和S2两开关的闭合与断开。Vo 为低电平时S1闭合,S2断开,这时I6=I7=0,Io 全部给电容C 充电,使V7上升,由于Io 为恒流源,V7线性斜升,升至VSP 时,Vo 跳变高电平,Vo 高电平时控制S2闭合,S1断开,恒流源Io 全部流入A 支路,即I6=Io ,由于电流转发器的特性,B 支路电流I7应等于I6,所以I7=Io ,该电流由C 放电电流提供,因此V7线性斜降,V7降至VSM 时Vo 跳变为低电平,如此周而复始循环下去,I7及Vo 波形如图9-2。

图9-1

图9-2

566输出的方波及三角波的载波频率(或称中心频率)可用外加电阻R 和外加电容C 来确定。 )(858Hz V C R V V f ??-=

其中:R 为时基电阻

C 为时基电容

V8是566管脚⑧至地的电压

V5是566管脚⑤至地的电压

五、实验内容及步骤

实验电路见图9-3

图9-3 566构成的调频器 图9-4 输入信号电路

1.按图接线,观察R 、C1对频率的影响(其中R=R3+RP1)。

① 将C1接入566管脚⑦,Rp2及C2接至566管脚⑤;接通电源(±5V )。

② 调Rp2使V5=3.5V ,将频率计接至566管脚③,改变RP1观察方波输出信号频率,记录当R 为最大和最小值时的输出频率。当R 分别为Rmax 和Rmin 及C1=2200时,计算这二种情况下的频率,并与实际测量值进行比较。用双踪示波器观察并记录R=Rmin 时方波及三角波的输出波形。 当R 最小时,理论值)(8

58max Hz V C R V V f ??-=

=45.45KHz 测量值KHz V C R V V f 608.388

58max =??-= 当R 最大时,理论值KHz V C R V V f 09.348

58min =??-= 测量值KHz V C R V V f 368.29858min =??-= 误差分析:实验室中有的器件老化了,接线柱上两个距离近的接口用了一根很长的导线等,都会导致精确度不高,还有测量时电压不稳定,也会导致测量时候数据的不准确。

2.观察输入电压对输出频率的影响

①直流电压控制:先调RP1至最大,然后改变RP2调整输入电压,测当V5在2.2V~4.2V 变化时输出频率f 的变化,V5按0.2V 递增。将测得的结果填入表9.1。 表9.1

V5(V ) 2.2 2.4 2.6 2.8 3.0 3.2 3.4 3.6 3.8 4.0 4.2

f (KHz ) 60.1 57.0 53.1 48.7 43.5 37.4 30.8 24.1

17.5 10.8 4.0

用交流电压控制:仍将R设置为最大,断开⑤脚所接C2、RP2,将图9-4(即:输入信号电路)的输出OUT接至图9-3中566的⑤脚

(a)将函数发生器的正弦波调制信号em(输入的调制信号)置为f=5KHz、Vp-p=1V,然后接至图9-4电路的IN端。用双踪示波器同时观察输入信号em和566管脚③的调频(FM)方波输出信号,观察并记录当输入信号幅度Vp-p不要大于1.3V。

注意:为了更好的用示波器观察频率随电压的变化情况,可适当微调调制信号的频率,即可达到理想的观察效果。

观察如下图所示

(b)调制信号改用方波信号em,使其频率fm=1KHz,Vp-p=1V,用双踪示波器观察并记录em和566管脚③的调频(FM)方波输出信号。

观察如下图所示:

| | | | | | | | | | | | | | |

| | | | | | | | | | | | | | |

| | | | | | | | | | | | | | |

| | | | | | | | | | | | | | |

| | | | | | | | | | | | | | |

| | | | | | | | | | | | | | |

实验十一集成电路(锁相环)构成的频率解调器

一、实验目的

1.弄清用锁相环构成调频波的解调原理。

2.学习掌握集成电路频率调制器/解调器系统的工作原理。

二、预习要求

1.查阅有关锁相环内部结构及工作原理。

2.弄清锁相环集成电路与外部元器件之间的关系。

三、实验仪器

1、高频实验箱 1台

2、高频信号发生器 1台

3、双踪高频示波器 1台

4、扫频仪 1台

5、万用表 1块

6、实验板G5 1块

四、565锁相环(PLL)集成电路说明

图10-1 565(PLL)的框图及管脚排列

图10-1为565(PLL单片集成电路)的框图及管脚排列,锁相环内部电路由相位鉴别器、压控振荡器、放大器三部分构成,相位鉴别器由模拟乘法器构成,它有二组输入信号,一组为外部管脚②、③输入信号e1,其频率为f1;另一组为内部压控振荡器产生信号e2,经④脚输出,接至⑤脚送到相位鉴别器,其频率为f2,当fl和f2差别很小时可用频率差代表两信号之间的相位差,即fl–f2的值使相位鉴别器输出一直流电压,该电压经⑦脚送至VCO的输入端,控制VC0,使其输出信号频率f2发生变化,这一过程不断进行,直至f2=fl为止,这时称为锁相环锁定。

五、实验内容及步骤

实验电路如图10-2所示。

图10-2 565(PLL)构成的频率解调器

1.正弦波解调器

调Rp 使其中VCO 的输出频率fo(④脚)为50KHz 。先按实验的要求获得调频方波输出信号,要求输入的正弦调制信号e m 为:Vp-p=0.8V,f=lKHz,然后将其接至565锁相环的IN 输入端,调节565的Rpl(逆时针旋转)使R 最小,用双踪示波器观察并记录565的输入调制信号e m 和565“B ”点的解调输出信号。

波形如下图所示:

2.相移键控解调器:用峰一峰值Vp-p=0.8V,fm=1KHz 的正弦波做调制信号送给调制器565,分别观察调制器565的调制信号和比较器311的输出信号。在试验中我测得了同步上限频率

上f ,同步下限频率下f ,捕捉上频率'上f ,捕捉下频率'下f 。

上f =83.2HKz

下f =7.27KHz

'上f =69.2KHz

'下f =31.4KHz

捕捉带

8.37'''=-=下上f f f

93

.75=-=下上f f f

实验心得

在本次试验中我学到一些比较新鲜的东西,因为在做此实验之前,由于急急忙忙的应付考试,所以我没有好好的去查找相关的资料和教科书上相关的知识点,从而影响了在做整个实验的时候思路的连贯性。但是我一边在做实验的时候,一边向旁边的同学请教,同时还请教老师一些现在想起来非常幼稚的问题,但是有些东西不问自己就永远不知道当时的迷惑,所以现在想起来,当时问的问题还是对现在重新来复习相关的知识是有帮助的。

此次做实验我了解了了压控振荡器并用它构成频率调制原理和锁相环构成调频波的解调原理,掌握了集成电路频率调制器和解调器的工作原理。然而在做完实验之后我重新复习书上相关的知识点时,感觉复习起来有一种非常熟悉的感觉,可以说是一种实践操作之后再回顾书上的东西时,学起东西来非常轻松,这对于我们工科专业学生来说,实践与理论相结合是学习的良好方法,动起手来比我们在这里干瘪瘪的学知识点有趣多了。

从第一个实验的控制电压和输出频率的变化曲线来看,曲线的线性程度较好,用压控振荡器直接调频可获得比较大的频偏。所以压控振荡器直接调频的主要优点是:在实现线性调频的要求下,可以获得相对较大的频偏。它的主要缺点是:调频过程中会导致载频(FM波的中心频率)偏移,频率稳定性较差。通常需要采用自动频率微调电路来克服载频的偏移。在第二实验中,开始对我们要测的同步上下限频率和捕捉带上下限频率不是非常了解,但是后来在同学的帮助下知道该怎么去调试,怎么去得到这个实验的结果。这里需要注意同步带和捕捉带的一些调试问题,应该使压控振荡器的中心频率落在捕捉带内,这样才能获得调频信号。锁相环为无线电发射中使频率较为稳定的一种方法,其用途是在收、发通信双方建立载波同步或位同步。锁相环还有一些优点:良好的跟踪特性;良好的窄带滤波特性;锁定状态无剩余频差;易于集成化。

总之,通过本次实验使我更深刻地了解到了实践的重要性,通过实验我更加体会到了“学以致用”这句话的道理,终于体会到“实习前的自大,实习时的迷惘,实习后的感思”这句话的含义了,有感思就有收获,有感思就有提高。巩固了我的部分理论知识掌握了电子元器件的识别方法和频率调制解调的原理,培养了我的实践技能,更为了我以后的学习相关知识奠定了一定的基础。

最后附上:

高频压控振荡器设计

前言 (1) 1高频压控振荡器设计原理压控振荡器 (2) 1.1工作原理 (2) 1.2变容二极管压控振荡器的基本工作原理 (2) 2高频压控振荡器电路设计 (4) 2.1设计的资料及设备 (4) 2.2变容二极管压控振荡器电路的设计思路 (4) 2.3变容二极管压控振荡器的电路设计 (4) 2.4实验电路的基本参数 (5) 2.5实验电路原理图 (6) 3高频压控振荡器电路的仿真 (7) 3.1M ULTISIM软件简介 (7) 3.2M ULTISIM界面介绍 (8) 3.2.1电路仿真图 (9) 3.2.2压控振荡器的主要技术指标 (9) 3.3典型点的频谱图 (9) 4高频压控振荡器电路实现与分析 (16) 4.1实验电路连接 (16) 4.2实验步骤 (16) 4.3实验注意事项 (18) 4.4硬件测试 (19) 5心得体会 (21) 参考文献 (22)

压控振荡器广泛应用于通信系统和其他电子系统中,在LC振荡器决定振荡器的LC 回路中,使用电压控制电容器(变容管),可以在一定的频率范围内构成电调谐振荡器。这种包含有压控元件作为频率控制器件的振荡器就称为压控振荡器。它广泛应用与频率调制器、锁相环路以及无线电发射机和接收机中。 压控振荡器是锁相环频率合成器的重要组成单元,在很大程度上决定了锁相环的性能。在多种射频工艺中,COMS工艺以高集成度、低成本得到广泛的应用。 压控振荡器(VCO)在无线系统和其他必须在一个范围的频率内进行调谐的通信系统中是十分常见的组成部分。许多厂商都提供VCO产品,他们的封装形式和性能水平也是多种多样。现代表面的贴装的射频集成电路(RFIC)VCO继承了近百来工程研究成果。在这段历史当中。VCO技术一直在不断地改进中,产品外形越来越小而相位噪声和调谐线性度越来越好。 对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄;RC压控振荡器的频率稳定度低而调频范围宽,LC压控振荡器居二者之间。 压控振荡器可分为环路振荡器和LC振荡器。环路振荡器易于集成,但其相位噪声性能比LC振荡器差。为了使相位噪声满足通信标准的要求,这里对负阻RC压控振荡器进行了分析。

压控振荡器原理和应用说明

压控振荡器(VCO 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj 随反向偏置电压VT 变化而变化的特点(VT=OV 时Cj 是最大值,一 般变容管VT 落在2V-8V 压间,Cj 呈线性变化,VT 在8-10V 则一般为非线性变化,如图1 所示,VT 在10-20V 时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当 改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO 。 压控振荡器的调谐电压 VT 要针对所要求的产品类别及典型应用环境(例如用户提供调谐要 求,在锁相环使用中泵源提供的输出控制电压范围等 )来选择或设计,不同的压控振荡器, 对调谐电压VT 有不同的要求,一般而言,对调谐线性有较高要求者, VT 选在1-10V ,对宽 频带调谐时,VT 则多选择1-20V 或1-24V 。图1为变容二极管的V — C 特性曲线。 图1变容二极管的V — C 特性曲线 三压控振荡器的基本参数 1工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“ MHZ 或 “GHz 。 2输出功率:在工作频段内输出功率标称值,用 Po 表示。通常单位为“ dBmW 。 3输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△ P 表示,通常 单位为“ dBmW 。 4调谐灵敏度:定义为调谐电压每变化1V 时,引起振荡频率的变化量,用 MHz/ △ VT 表示,在线性区,灵敏度最咼,在非线性区灵敏度降低。 5谐波抑制:定义在测试频点,二次谐波抑制 =10Log (P 基波/P 谐波)(dBmw )。 6推频系数:定义为供电电压每变化1V 时,引起的测试频点振荡频率的变化量,用 MHz/V 表 示。 7相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振 f0为fm 的带内,各杂散能量的总和按fin 平均值+15f0点频谱能量之比,单位为dBC/Hz 相位噪 声特点是频谱能量集中在f0附近,因此fm 越小,相噪测量值就越大,目前测量相噪选定 WV) 0 8 10

多谐振荡器双闪灯电路设计与制作

多谐振荡器双闪灯电路设计与制作 南昌理工学院张呈张海峰 我们主张,电子初学者要采用万能板焊接电子制作作品,因为这种电子制作方法,不仅能培养电子爱好者的焊接技术,还能提高他们识别电路图和分析原理图的能力,为日后维修、设计电子产品打下坚实的基础。 上一篇文章《电路模型设计与制作》我们重点介绍了电路模型的概念以及电流、电压、电阻、发光二极管、轻触开关等基本知识,并完成了电路模型的设计与制作,通过成功调试与测试产品参数,进一步掌握了电子基础知识。 本文将通过设计与制作多谐振荡器双闪灯,掌握识别与检测电阻、电容、二极管、三极管。掌握识别简单的电路原理图,能够将原理图上的符号与实际元件一一对应,能准确判断上述元件的属性、极性。

一、多谐振荡器双闪灯电路功能介绍 图1 多谐振荡器双闪灯成品图

多谐振荡器双闪灯电路,来源于汽车的双闪灯电路,是经典的互推互挽电路,通电后LED1和LED2交替闪烁,也就是两个发光二极管轮流导通。 完成本作品的目的是为了掌握识别与检测电阻、电容、二极管、三极管。掌握识别简单的电路原理图,能够将原理图上的符号与实际元件一一对应,能准确判断上述元件的属性、极性。。 该电路是一个典型的自激多谐振荡电路,电路设计简单、易懂、趣味性强、理论知识丰富,特别适合初学者制作。 二、原理图 图2 多谐振荡器双闪灯原理图 三、工作原理 本电路由电阻、电容、发光二极管、三极管构成典型的自激多谐振荡电路。在上篇文章中介绍了电阻、和发光二极管,本文只介绍电容和三极管。 1、电容器的识别

电容器,简称电容,用字母C表示,国际单位是法拉,简称法,用F表示,在实际应用中,电容器的电容量往往比1法拉小得多,常用较小的单位,如微法(μF)、皮法(pF)等,它们的关系是: 1法拉(F)=1000000微法(μF),1微法(μF)=1000000皮法(pF)。 本的套件中使用了2个10μF的电解电容,引脚长的为正,短的为负;旁边有一条白色的为负,另一引脚为正。电容上标有耐压值上25V,容量是10μF。 2、三极管的识别 三极管,全称应为半导体三极管,也称双极型晶体管,晶体三极管,是一种电流控制电流的半导体器件。其作用是把微弱信号放大成幅值较大的电信号, 也用作无触点开关,俗称开关管。套件中使用的是NPN型的三极管9013,当把有字的面向自己,引脚朝下,总左往右排列是发射极E,基极B,集电极C。如图3所示。 图3 三极管的引脚图 晶体三极管具有电流放大作用,其实质是三极管能以基极电流微小的变化量来控制集电极电流较大的变化量。这是三极管最基本的和最重要的特性。我们将ΔIc/ΔIb的比值称为晶体三极管的电流放大倍数,用符号“β”表示。电流放大倍数对于某一只三极管来说是一个定值,但随着三极管工作时基极电流的变化也会有一定的改变。 晶体三极管的三种工作状态: (1)截止状态 当加在三极管发射结的电压小于PN结的导通电压,基极电流为零,集电极电流和发射极电流都为零,三极管这时失去了电流放大作用,集电极和发射极之间相当于开关的断开状态,我们称三极管处于截止状态。

压控振荡器

压控振荡器 一.基本原理 信号的频率取决于输入信号电压的大小,因此称为“压控振荡器”。其它影响压控振荡器输出信号的参数还VCO(Voltage ControlledOscillator)(压控振荡器)是指输出信号的频率随着输入信号幅度的变化而发生相应变化的设备,它的工作原理可以通过公式(5-1)来描述。 (5-1) 其中,u(t)表示输入信号,y(t)表示输出信号。由于输入信号的频率取决与输入信号的电压的变化,因此称为“压控振荡器”。其他影响压控振荡器输出信号 的参数还有信号的幅度A c ,振荡频率f c ,输入信号灵敏度k c ,以及初始相位。 压控振荡器的特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图中,uc为零时的角频率ω0,0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄,RC压控振荡器的频率稳定度低而调频范围宽,LC 压控振荡器居二者之间。

在MATLAB中压控振荡器有两种:离散时间压控振荡器和连续时间压控振荡器,这两种压控振荡器的差别在于,前者对输入信号采用离散方式进行积分,而后者则采用连续积分。本书主要讨论连续时间压控振荡器。 为了理解压控振荡器输出信号的频率与输入信号幅度之间的关系,对公式(5-1)进行变换,取输出信号的相角Δ为 对输出信号的相角Δ求微分,得到输出信号的角频率ω和频率f分别为: ω=2πf c+2πk c u(t) (5-3) (5-4) 从式(5-4)中可以清楚地看到,压控振荡器输出信号的频率f与输入信号幅度u(t)成正比。当输入信号u(t)等于0时,输出信号的频率f等于f c;当输入信号u(t)大于0时,输出信号的频率f高于f c;当输入信号u(t)小于0时,输出信号的频率f低于f c。这样,通过改变输入信号的幅度大小就可以准确地控制输出信号的频率。 二.程序及结果分析 定义一个锯齿波信号,频率是20HZ,幅度范围在0V和1V之间。现在用此信号 =20HZ,输入信号作为压控振荡器的输入控制信号,该压控振荡器的振荡频率f c 灵敏度,初始相位。使用MATLAB求得输出的压控振荡信号。MATLAB 程序如下: %MATLAB实现压控振荡器 clear all; clc; t0=0.15;%定义压控信号持续时间 ts=0.0001;%定义信号采样率 fc=50;%定义振荡频率 t=[0:ts:t0];%时间矢量 u0=20*t(1:length(t)/3);%定义压控信号(单周期) u=[u0,u0,u0,0];%定义压控信号(3个周期) Ac=1;%定义振幅 kc=0.1;%定义输入信号灵敏度 fi=0;%定义初始相位 %对压控信号进行积分 u_int(1)=0;%定义压控信号积分初值 for i=1:length(u)-1%进行离散积分 u_int(i+1)=u(i)+u_int(i);

集成电路(锁相环)构成的频率解调器_集成电路(压控振荡器)构成的频率调制器

实验十 集成电路(压控振荡器)构成的频率调制器 一、实验目的 1.进一步了解压控振荡器和用它构成频率调制的原理 2.掌握集成电路频率调制器的工作原理。 二、预习要求 1.查阅有关集成电路压控振荡器资料。 2.认真阅读指导书,了解566(VOC 的单片集成电路)的内部电路及原理。 3.高清566外接元件的作用。 三、实验仪器设备 1.双踪示波器 2.频率计 3.万用表 4.电容表 5.实验板G5 四、实验电路说明 图9-1为566型单片集成VCO 的框图及管脚排列 图9-1中幅度鉴别器,其正向触发电平定义为Vsp ,反向触发电平定义为VSM ,当电容C 充电使其电压V7(566管脚⑦对地的电压)上升至VSP ,此时幅度鉴别器翻转,输出为高电平,从而使内部的控制电压形成电路的输出电压,该电压Vo 为高电平;当电容C 放电时,其电压V7下降,降至VSM 时高度鉴别器再次翻转,输出为低电平而使Vo 也变为低电平,用Vo 的高、低电平控制S1和S2两开关的闭合与断开。Vo 为低电平时S1闭合,S2断开,这时I6=I7=0,Io 全部给电容C 充电,使V7上升,由于Io 为恒流源,V7线性斜升,升至VSP 时,Vo 跳变高电平,Vo 高电平时控制S2闭合,S1断开,恒流源Io 全部流入A 支路,即I6=Io ,由于电流转发器的特性,B 支路电流I7应等于I6,所以I7=Io ,该电流由C 放电电流提供,因此V7线性斜降,V7降至VSM 时Vo 跳变为低电平,如此周而复始循环下去,I7及Vo 波形如图9-2。 图9-1 图9-2

566输出的方波及三角波的载波频率(或称中心频率)可用外加电阻R 和外加电容C 来确定。 )(858Hz V C R V V f ??-= 其中:R 为时基电阻 C 为时基电容 V8是566管脚⑧至地的电压 V5是566管脚⑤至地的电压 五、实验内容及步骤 实验电路见图9-3 图9-3 566构成的调频器 图9-4 输入信号电路 1.按图接线,观察R 、C1对频率的影响(其中R=R3+RP1)。 ① 将C1接入566管脚⑦,Rp2及C2接至566管脚⑤;接通电源(±5V )。 ② 调Rp2使V5=3.5V ,将频率计接至566管脚③,改变RP1观察方波输出信号频率,记录当R 为最大和最小值时的输出频率。当R 分别为Rmax 和Rmin 及C1=2200时,计算这二种情况下的频率,并与实际测量值进行比较。用双踪示波器观察并记录R=Rmin 时方波及三角波的输出波形。 当R 最小时,理论值)(8 58max Hz V C R V V f ??-= =45.45KHz 测量值KHz V C R V V f 608.388 58max =??-= 当R 最大时,理论值KHz V C R V V f 09.348 58min =??-= 测量值KHz V C R V V f 368.29858min =??-= 误差分析:实验室中有的器件老化了,接线柱上两个距离近的接口用了一根很长的导线等,都会导致精确度不高,还有测量时电压不稳定,也会导致测量时候数据的不准确。 2.观察输入电压对输出频率的影响 ①直流电压控制:先调RP1至最大,然后改变RP2调整输入电压,测当V5在2.2V~4.2V 变化时输出频率f 的变化,V5按0.2V 递增。将测得的结果填入表9.1。 表9.1 V5(V ) 2.2 2.4 2.6 2.8 3.0 3.2 3.4 3.6 3.8 4.0 4.2 f (KHz ) 60.1 57.0 53.1 48.7 43.5 37.4 30.8 24.1 17.5 10.8 4.0

基于锁相环的频率合成器..

综合课程设计 频率合成器的设计与仿真

前言 现代通信系统中,为确保通信的稳定与可靠,对通信设备的频率准确率和稳定度提出了极高的要求. 随着电子技术的发展,要求信号的频率越来越准确和越来越稳定,一般的振荡器已不能满足系统设计的要求。晶体振荡器的高准确度和高稳定度早已被人们认识,成为各种电子系统的必选部件。但是晶体振荡器的频率变化范围很小,其频率值不高,很难满足通信、雷达、测控、仪器仪表等电子系统的需求,在这些应用领域,往往需要在一个频率范围内提供一系列高准确度和高稳定度的频率源,这就需要应用频率合成技术来满足这一需求。 本次实验利用SystemView实现通信系统中锁相频率合成器的仿真,并对结果进行了分析。 一、频率合成器简介 频率合成是指以一个或少量的高准确度和高稳定度的标准频率作为参考频率,由此导出多个或大量的输出频率,这些输出频率的准确度与稳定度与参考频率是一致的。用来产生这些频率的部件就成为频率合成器或频率综合器。频率合成器通过一个或多个标准频率产生大量的输出频率,它是通过对标准频率在频域进行加、减、乘、除来实现的,可以用混频、倍频和分频等电路来实现。其主要技术指标包括频率范围、频率间隔、准确度、频率稳定度、频率纯度以及体积、重量、功能和成本。 频率合成器的合成方法有直接模拟合成法、锁相环合成法和直接数字合成法。直接模拟合成法利用倍频、分频、混频及滤波,从单一或几个参数频率中产生多个所需的频率。该方法频率转换时间快(小于100ns),但是体积大、功耗大,成本高,目前已基本不被采用。锁相频率合成器通过锁相环完成频率的加、减、乘、除运算,其结构是一种闭环系统。其主要优势在于结构简化、便于集成,且频率纯度高,目前广泛应用于各种电子系统。直接式频率合成器中所固有的那些缺点,在锁相频率合成器中大大减少。 本次实验设计的是锁相频率合成器。

压控振荡器(VCO)工作原理

3.15压控振荡器 一.实验目的 1.了解压控振荡器的组成、工作原理。 2.进一步掌握三角波、方波与压控振荡器之间的关系。 3.掌握压控振荡器的基本参数指标及测试方法。 二.设计原理 电压控制振荡器简称为压控振荡器,通常由VCO(V oltage Controlled Oscillator)表示。是一种将电平变换为相应频率的脉冲变换电路,或者说是输出脉冲频率与输入信号电平成比例的电路。它被广泛地应用在自动控制,自动测量与检测等技术领域。 压控振荡器的控制电压可以有不同的输入方式。如用直流电压作为控制电压,电路可制成频率调节十分方便的信号源;用正弦电压作为控制电压,电路就成为调频振荡器;而用锯齿电压作为控制电压,电路将成为扫频振荡器。 压控振荡器由控制部分、方波、三角波发生器组成框图如下: 反相器 1 反相器 2模 拟 开 关 方波、三角波发生器三角波方波 3-15-1 1.方波、三角波发生器 我们知道,方波的产生有很多种方法,而用运算放大器的非线性应用电路---电压比较器是一种产生方波的最简单的电路之一。而三角波可以通过方波信号积分得到。电路如图3.15.2所示: C 3-15-2

设t=0,Uc=0,Uo 1=+Uz,则Uo=-Uc=0,运放A 1的同相端对地电压为:U+’= 2 12211 R R R U R R R U o z +++ 此时,Uo 1通过R 向C 恒流充电,Uc 线性上升,Uo 线性下降,则U+’下降, 由于运放反相端接地,因此当U+’下降略小于0时,A 1翻转,Uo1跳变为-Uz 见土3.7.2中t=t 1时的波形。根据式3.7.1可知,此时Uo 略小于-R 1×U 2/R 2。 在t=t 1时,Uc=-Uo=R 1×U 2/R 2,Uo1=-Uz.运放A 1的同相端对地电压为: 2 12 211'R R UoR R R UzR U ++ ++ =+ 此时,电容C 恒流放电,Uc 线性下降,Uo 线性上升,则U+’也上升。当U+’ 上升到略大于0时,A 1翻转,Uo 跳变为Uz ,如此周而复始,就可在Uo 端输出幅度为R 1×U 2/R 2的三角波。同时在Uo 1端得到幅度为Uz 的方波。

压控振荡器的设计与仿真.

目录 1 引言 (2) 2 振荡器的原理 (5) 2.1 振荡器的功能、分类与参数 (5) 2.2 起振条件 (9) 2.3 压控振荡器的数学模型 (10) 3 利用ADS仿真与分析 (11) 3.1 偏置电路的的设计 (12) 3.2 可变电容VC特性曲线测试 (13) 3.3 压控振荡器的设计 (15) 3.4 压控振荡器相位噪声分析 (18) 3.5 VCO振荡频率线性度分析 (23) 4 结论 (24) 致谢 (25) 参考文献 (25)

压控振荡器的设计与仿真 Advanced Design System客户端软件设计 电子信息工程(非师范类)专业 指导教师 摘要:ADS可以进行时域电路仿真,频域电路仿真以及数字信号处理仿真设计,并可对设计结果进行成品率分析与优化,大大提高了复杂电路的设计效率。本论文运用ADS仿真软件对压控振荡器进行仿真设计,设计出满足设计目标的系统,具有良好的输出功率,相位噪声性能及震荡频谱线性度。本论文从器件选型开始,通过ADS软件仿真完成了有源器件选型,带通滤波器选型,振荡器拓扑结构确定,可变电容VC特性曲线,瞬态仿真及谐波平衡仿真。实现了准确可行的射频压控振荡器的计算机辅助设计。关键字:压控振荡器,谐波平衡仿真,ADS 1 引言 振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。随着电子技术的迅速发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。尤其在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要地位。 人们对振荡器的研究未曾停止过。从早期的真空管时代当后期的晶体管时代,无论是理论上还是电路结构和性能上,无论是体积上还是制作成本上无疑都取得了飞跃性的

压控振荡器原理和应用说明

压控振荡器(VCO) 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj随反向偏置电压VT变化而变化的特点(VT=0V时Cj是最大值,一般变容管VT落在2V-8V压间,Cj呈线性变化,VT在8-10V则一般为非线性变化,如图1所示,VT在10-20V时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO)。压控振荡器的调谐电压VT要针对所要求的产品类别及典型应用环境(例如用户提供调谐要求,在锁相环使用中泵源提供的输出控制电压范围等)来选择或设计,不同的压控振荡器,对调谐电压VT有不同的要求,一般而言,对调谐线性有较高要求者,VT选在1-10V,对宽频带调谐时,VT则多选择1-20V或1-24V。图1为变容二极管的V-C特性曲线。 (V) T 图1变容二极管的V-C特性曲线 三压控振荡器的基本参数 1 工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“MHz”或 “GHz”。 2 输出功率:在工作频段内输出功率标称值,用Po表示。通常单位为“dBmw”。 3 输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△P表示,通常 单位为“dBmw”。 4 调谐灵敏度:定义为调谐电压每变化1V时,引起振荡频率的变化量,用MHz/ △VT 表示,在线性区,灵敏度最高,在非线性区灵敏度降低。 5 谐波抑制:定义在测试频点,二次谐波抑制=10Log(P基波/P谐波)(dBmw)。 6 推频系数:定义为供电电压每变化1V时,引起的测试频点振荡频率的变化量,用MHz/V表示。 7 相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振f0为fm 的带内,各杂散能量的总和按fin平均值+15f0点频谱能量之比,单位为dBC/Hz;相位噪 声特点是频谱能量集中在f0附近,因此fm越小,相噪测量值就越大,目前测量相噪选定

双三极管多谐振荡器电路工作原理

双三极管多谐振荡器电路工作原理 双三极管多谐振荡器 电路工作原理 多谐振荡器电路是一种矩形波产生电路.这种电路不需要外加触发信号,便能连续地, 周期性地自行产生矩形脉冲.该脉冲是由基波和多次谐波构成,因此称为多谐振 荡器电路. 电路结构 1.路图 2.把双稳态触发器电路的两支电阻耦合支路改为电容耦合支路.那么电路就没有稳 定状态,而成为无稳电路 3.开机:由于电路参数的微小差异,和正反馈使一支管子饱和另一支截止.出现一个暂 稳态.设Q1饱和,Q2截止. 工作原理 正反馈: Q1饱和瞬间,VC1由+VCC 突变到接近于零,迫使Q2的基极电位VB2瞬间下 降到接近 —VCC,于是Q2可靠截止. 注:为什么Q2的基极产生负压,因为Q1导通使Q1 集电极的电压瞬间接近于零,电容C1的

正极也接近于零,由于电容两边电压不能突变使得电容的负端为—VCC。 2.第一个暂稳态: C1放电: C2充电: 3.翻转:当VB2随着C1放电而升高到+0.5V时,Q2开始导通,通过正反馈使Q1截止,Q2饱和. 正反馈: 4.第二个暂稳态: C2放电: C1充电: 5.不断循环往复,便形成了自激振荡 6.振荡周期: T=T1+T2=0.7(R2*C1+R1*C2)=1.4R2*C 7.振荡频率: F=1/T=0.7/R2*C 8..波形的改善: 可以同单稳态电路,采用校正二极管电路 下面我们来做一个实验:如图 振荡周期: T=1.4R2*C=1.4*10000Ω*0.00001F=0.14s=140ms 此图利用Multisim仿真软件去求出时间与实际的偏差 数据测量图:此图测量了Q2的基极和集电极极,集电极的波形相当于图的矩形波,基极波形相当于图的锯齿波。

基于F-N锁相环芯片的频率合成器设计

基于F-N锁相环芯片LMX2485E的频率合成器设计 单位或部门:XXX 作者:XXX 摘要:本文对比分析了主流的频率合成器技术,对F-N频率合成器的相位噪声和杂散指标进行了分析,设计了基于F-N锁相环芯片LMX2485E的频率合成器方案,测试表明,设计方案基本满足要求。本文从理论和工程设计两方面对设计进行了分析验证,具有一定的工程参考价值。 关键词:PLL;DDS;分数分频(F-N);相位噪声;杂散抑制 频率合成器的功能是从一个高稳定度的基准频率产生多个高稳定度的频率输出,为其他电路单元提供高质量的本振信号或时钟信号。频率合成器的性能指标对无线通信设备的性能有重要影响,随着无线通信的快速发展,频率合成技术已经成为无线通信的关键技术之一。 1.频率合成技术概述 频率合成理论自20世纪30年代提出以来,取得了迅速的发展,形成了目前5种主要的技术:直接模拟频率合成技术、锁相频率合成技术、直接数字式频率合成技术、F-N频率合成技术。[1][2] (1)直接模拟频率合成(DAFS)技术 直接模拟频率合成(Direct Analog Frequency Synthesis)技术是最早出现的频率合成技术,原理简单,易于实现。但由于采用大量的混频、分频、倍频和滤波等模拟硬件设备,使频率合成器的体积大、成本高、结构复杂,容易产生杂散分量,大多数硬件的非线性影响难于抑制。因此主要用于需要频率数量少的系统中,在宽带系统中一般不采用这种技术。 (2)锁相频率合成技术(PLL) 锁相式频率合成技术是基于锁相环的间接数字频率合成技术,利用负反馈跟踪环路保持VCO与参考频率的相频同步。同时,锁相环路中的环路滤波器相当于一窄带跟踪滤波器,因此能很好地选择所需频率的信号,抑制杂散分量和噪声。在环路中,设计良好的压控振荡器具有高的短期频率稳定性,而参考频率源具有高的长期频率稳定度,锁相频率合成器把这二者结合在一起,使其合成信号的长期稳定度和短期稳定度都很高。但锁相式频率合成技术的缺点是环路的调整需要一定的时间,因此频率转换时间较长。

锁相环(PLL)频率合成调谐器

锁相环(PLL)频率合成调谐器 调谐器俗称高频头,是对接收来的高频电视信号进行放大(选频放大)并通过内部的变频器把所接收到的各频道电视信号,变为一固定频率的图像中频(38MHz)和伴音中频以利于后续电路(声表面滤波器、中放等)对信号进行处理。 调谐器(高频头)原理: 高频放大:把接收来的高频电视信号进行选频放大。 本机振荡器:产生始终高于高频电视信号图像载频38MHz的等幅载波,送往混频器。 混频器:把高频放大器送来的电视信号和本机振荡器送来的本振等幅波,进行混频产生38MHz的差拍信号(即所接收的中频电视信号)输出送往预中放及声表面滤波器。 结论:简单的说:只要改变本机振荡器的频率即可达到选台的目的) 一、电压合成调谐器:早期彩色电视接收机大部分均采用电压合成高频调谐器,其调谐器的选台及波段切换均由CPU输出的控制电压来实现(L、H、U波段切换电压及调谐选台电压),其中调谐选台电压用来控制选频回路和本振回路的谐振频率,调谐选台电压的任何变化都将导致本机振荡器频率偏移,选台不准确、频偏、频漂。为了保证本机振荡器频率频率稳定,必须加上AFT系统。由于AFT系统中中放限幅调谐回路和移相网络一般由LC谐振回路构成,这个谐振回路是不稳定的,这就造成了高频调谐器本机振荡器频率不稳,也极易造成频偏、频漂。

二、频率合成调谐器 1、频率合成的基本含义:是指用若干个单一频率的正弦波合成多个新的频率分量的方法(频率合成调谐器的本振频率是由晶振分频合成的)。 频率合成的方法有很多种。下图为混频式频率合成器方框图 以上图中除了三个基频外还有其“和频”及“差频”输出(还有各个频率的高次谐波输出)。 输出信号的频率稳定性由基准信号频率稳定性决定,而且输出信号频率误差等于各基准信号误差之和,因此要想减少误差除了要提高基准信号稳定度之外还应减少基准信号的个数。 2、锁相环频率合成器: 其方框图类似于彩色电视接收机中的副载波恢复电路,只是在输入回路插入了一个基准信号分频器(代替色同步信号输入)而在反馈支路插入一个可编程分频器(代替900移相)。当环路锁定时存在如下关系: ∵ fk=f0 / K 式中:fvco为压控振荡器输出信号频率。 fn=fvco / N f0 为晶振基准频率。 fk=fn K为分频系数。 ∴ fvco=N?fo / K N为可变分频器的分频系数(分频比) 彩色电视机幅载波恢复电路

锁相环频率合成

锁相环的发展历史、运用和芯片介绍 摘要:本文分三个部分,主要介绍了锁相环的发展历程,以及频率合成器在现代数字电路系统中的运用,最后,介绍了两块锁相环芯片:集成锁相环芯片Si4133和微波集成锁相环芯片ADF4106。让我们对锁相技术有比较好的认识和理解。 关键字:锁相环频率合成器锁相环芯片 引言:在当今数字电路高速发展的时代,集成电路的规模越来越大,集成的环路器件、通用和专用集成单片PLL,使锁相环逐渐变成了一个低成本、使用简便的多功能器件,使它在更广泛的领域里获得了应用。所以,无论是哪一方面的电路设计,都离不开锁相技术,了解其基本的知识,能对我们理解电路有更好的帮助。 正文: (一)锁相环路的发展历史 锁相技术是通信、导航、广播与电视通信、仪器仪表测量、数字信号处理及国防技术中得到广泛应用的一门重要的自动反馈控制技术。 锁相技术是实现相位自动控制的一门科学,是专门研究系统相位关系的新技术。从30年代发展开始,至今已逐步渗透到各个领域,早期是为了解决接收机的同步接收问题,后来应用在了电视机的扫描电路中,特别是空间技术的出现,极大推动了锁相技术的发展。近来,锁相技术的应用范围已大大拓宽了,在通信、导航、雷达、计算机直

至家用电器。与此同时,锁相技术的结构也从基本的两阶发展到了三阶甚至高阶,从单环发展到了复合强,其中鉴频鉴相器之所构成的锁相环路因其具有易于集成、锁定速度快、锁定范围宽等优点,成为如今广泛应用的一种结构。 对锁相原理的数学理论描述方面,可追溯到20世纪30年代。1932年,在已经建立的同步控制理论基础上,Bellescize提出了同步检波理论,第一次公开发表了对锁相环路(PLL)的数学描述。众所周知,同步检波的关键技术是要产生一个本振信号,该信号要与从接收端送载检波器的输入载波信号频率相同,否则检波器的输出信号会产生很大的误差,即接收端无法恢复出发送端所发送送信号。而一般的自动频率控制技术中,由于有固有的频率误差而无法满足上述要求。而要保持两个振荡信号频率相等,则必然要使这两个信号相位位差保持恒定,反之亦然,这种现象称之为频率同步或相位锁定,也是锁相技术最基本的概念和理论基础。但当时,这一理论并未得到普遍重视,直到1947年,锁相技术才第一次得到实际的应用,被运用在电视机的水平扫描线的同步装置中。50年代,杰费和里希廷第一次发表了有关PLL线性理论分析的论文,解决了PLL最佳化设计的问题。60年代,维特比研究了无噪声PLL的非线性理论问题,发表了相干通信原理的论文,70年代,Lindsy和Charles在做了大量实验的基础上进行了有噪声的一阶、二阶及高阶PLL的非线经理论分析,直到目前,各国学者仍在对锁相理论和运用进行着广泛而深入的研究。由于技术上的复杂性和较高的生产成本,早期PLL的应用领域主要是在航天、精密测量仪器等方面。

lc压控振荡器实验报告doc

lc压控振荡器实验报告 篇一:实验2 振荡器实验 实验二振荡器 (A)三点式正弦波振荡器 一、实验目的 1. 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计算。 2. 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影响。 3. 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1. 熟悉振荡器模块各元件及其作用。 2. 进行LC振荡器波段工作研究。 3. 研究LC振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4. 测试LC振荡器的频率稳定度。 三、基本原理 图6-1 正弦波振荡器(4.5MHz) 【电路连接】将开关S2的1拨上2拨下, S1全部断开,由晶体管Q3和C13、C20、C10、CCI、L2构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI可用来改变振 荡频率。振荡频率可调范围为:

?3.9799?M??f0??? ? ?4.7079?M? CCI?25p CCI? 5p 调节电容CCI,使振荡器的频率约为4.5MHz 。振荡电路反馈系数: F= C1356 ??0.12 C20470 振荡器输出通过耦合电容C3(10P)加到由Q2组成的射极跟随器的输入端,因C3容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号Q1调谐放大,再经变压器耦合从J1输出。 四、实验步骤 根据图6-1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 1. 调整静态工作点,观察振荡情况。 1)将开关S2全拨下,S1全拨下,使振荡电路停振 调节上偏置电位器RA1,用数字万用表测量R10两端的静态直流电压UEQ(即测量振荡管的发射极对地电压UEQ),使其为5.0V(或稍小,以振荡信号不失真为准),这时表明振荡管的静态工作点电流IEQ=5.0mA(即调节W1使

压控LC电容三点式振荡器设计及仿真

实验二压控LC 电容三点式振荡器设计及仿真 一、实验目的 1、了解和掌握LC 电容三点式振荡器电路组成和工作原理。 2、了解和掌握压控振荡器电路原理。 3、理解电路元件参数对性能指标的影响。 4、熟悉电路分析软件的使用。 二、实验准备 1、学习LC 电容三点式西勒振荡器电路组成和工作原理。 2、学习压控振荡器的工作原理。 3、认真学习附录相关内容,熟悉电路分析软件的基本使用方法。 三、设计要求及主要指标 1、采用电容三点式西勒振荡回路,实现振荡器正常起振,平稳振荡。 2、实现电压控制振荡器频率变化。 3、分析静态工作点,振荡回路各参数影响,变容二极管参数。 4、振荡频率范围:50MHz~70MHz,控制电压范围3~10V。 5、三极管选用MPSH10(特征频率最小为650MHz,最大IC 电流50mA,可 满足频率范围要求),直流电压源12V,变容二极管选用MV209。 四、设计步骤 1、整体电路的设计框图

整个设计分三个部分,主体为LC 振荡电路,在此电路基础上添加压控部分,设计中采用变容二极管MV209 来控制振荡器频率,由于负载会对振荡电路的 频 率产生影响,所以需要添加缓冲器隔离以使振荡电路不受负载影响。 2、LC 振荡器设计 首先应选取满足设计要求的放大管,本设计中采用MPSH10 三极管,其特征频率f T=1000MHz。LC 振荡器的连接方式有很多,但其原理基本一致,本实验中采用电容三点式西勒振荡电路的连接方式,该振荡电路在克拉泼振荡电路的基础上进行了细微的改良,增加了一个与电感L 并联的电容,主要利用其改变频率而不对振荡回路的分压比产生影响的特点。电路图如下所示:

多谐振荡器

第八章 脉冲波形的产生与整形 在数字电路或系统中,常常需要各种脉冲波形,例如时钟脉冲、控制过程的定时信号等。这些脉冲波形的获取,通常采用两种方法:一种是利用脉冲信号产生器直接产生;另一种则是通过对已有信号进行变换,使之满足系统的要求。 本章以中规模集成电路555定时器为典型电路,主要讨论555定时器构成的施密特触发器、单稳态触发器、多谐振荡器以及555定时器的典型应用。 8.1 集成555定时器 555定时器是一种多用途的单片中规模集成电路。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器。因而在波形的产生与变换、测量与控制、家用电器和电子玩具等许多领域中都得到了广泛的应用。 目前生产的定时器有双极型和CMOS 两种类型,其型号分别有NE555(或5G555)和C7555等多种。通常,双极型产品型号最后的三位数码都是555,CMOS 产品型号的最后四位数码都是7555,它们的结构、工作原理以及外部引脚排列基本相同。 一般双极型定时器具有较大的驱动能力,而CMOS 定时电路具有低功耗、输入阻抗高等优点。555定时器工作的电源电压很宽,并可承受较大的负载电流。双极型定时器电源电压范围为5~16V ,最大负载电流可达200mA ;CMOS 定时器电源电压变化范围为3~18V ,最大负载电流在4mA 以下。 一. 555定时器的电路结构与工作原理 1.555定时器内部结构: (1)由三个阻值为5k Ω的电阻组成的分压器; (2)两个电压比较器C 1和C 2: v +>v -,v o =1; v +<v -,v o =0。 (3)基本RS 触发器; (4)放电三极管T 及缓冲器G 。 2.工作原理。 当5脚悬空时,比较器C 1和C 2的比较电压分别为cc V 32和cc V 3 1 。 (1)当v I1>cc V 32,v I2>cc V 31 时,比较器 C 1输出低电平,C 2输出高电平,基本RS 触发 器被置0,放电三极管T 导通,输出端v O 为低电平。 (2)当v I1cc V 31 时,比较器 C 1输出高电平,C 2也输出高电平,即基本RS 触发器R =1,S =1,触发器状态不变,电路亦保持原状态不变。

【原创】锁相环PLL制作与调试要点

基于MC145152+MC12022+MC1648L+LM358 的锁相环电路 一、MC145152(鉴相器) MC145152-2 芯片是摩托罗拉公司生产的锁相环频率合成器专用芯片。它是MC145152-1 芯片的改进型。主要具有下列主要特征: (1)它与双模(P/(P+1))分频器同时使用,有一路双模分频控制输出MC。当MC 为低电平时,双模分频器用(P+1)去除;当MC 为高电平时,双模分频器用模数P 去除。 (2)它有 A 计数器和N 计数器两个计数器。它们与双模(P/(P+1))分频器提供了总分频值(NP+A)。其中,A、N 计数器可预置。N 的取值范围为3~1023,A 的取值范围为0~63。A 计数器计数期间,MC 为低电平;N 计数器计数(N-A)期间,MC 为高电平。 (3)它有一个参考振荡器,可外接晶体振荡器。 (4)它有一个R计数器,用来给参考振荡器分频,R计数器可预置,R的取值范围:8,64,128,256,512,1024,1160,2048。设置方法通过改变RA0、RA1、RA2的不同电平,接下来会讲到。 (5)它有两路鉴相信号输出,其中,ФR、ФV 用来输出鉴相误差信号,LD 用来输出相位锁定信号。 MC145152-2 的供电电压为3.0 V~9.0 V,采用28 脚双列封装形式。MC145152-2的原理框图如图1 所示 MC145152-2 的工作原理:参考振荡器信号经R 分频 器分频后形成fR 信号。压控振荡器信号经双模P/(P+ 1)分频器分频,再经A、N 计数器分频器后形成fV 信 号,fV=fVCO/(NP+A)。fR 信号和fV 信号在鉴相器中 鉴相,输出的误差信号(φR、φV)经低通滤波器形成 直流信号,直流信号再去控制压控振荡器的频率。 当整个环路锁定后,fV=fR 且同相,fVCO=(NP+A) fV=(NP+A)fR,便可产生和基准频率同样稳定度和 准确度的任意频率。原理框图如右图:

晶体振荡器与压控振荡器

晶体振荡器与压控振荡器 一、实验目的: 1.掌握高频电子电路的基本设计能力及基本调试能力,并在此基础上设计并联变换的晶体正弦波振荡器。 2.比较LC振荡器和晶体振荡器的频率稳定度。 二、实验内容: 1.熟悉振荡器模块各元件及其作用。 2.分析与比较LC振荡器与晶体振荡器的频率稳定度。 3.改变变容二极管的偏置电压,观察振荡器输出频率的变化。 三、基本原理: 1.下图是石英晶体谐振器的等效电路: 图中C0是晶体作为电介质的静电容,其数值一般为几个皮法到几十皮法。L q、C q、r q是对应于机械共振经压电转换而呈现的电参数。r q是机械摩擦和空气阻尼引起的损耗。由图3-1可以看出,晶体振荡器是一串并联的振荡回路,其串联谐振频率f q和并联谐振频率f0分别为 f q=1/2πLqCq,f0= f q Co 1 Cq/ 图1 晶体振荡器的等效电路 当W<W q或W> W o时,晶体谐振器显容性;当W在W q和W o之间,晶体谐振器等效为一电感,而且为一数值巨大的非线性电感。由于Lq很大,即使在W q处其电抗变化率也很大。其电抗特性曲线如图所示。实际应用中晶体工作于W q~W o之间的频率,因而呈现感性。

图2 晶体的电抗特性曲线 设计内容及要求 2 并联型晶体振荡器 图3 c-b型并联晶体振荡器电路 图 4 皮尔斯原理电路图 5 交流等效电路

C3用来微调电路的振荡频率,使其工作在石英谐振器的标称频率上,C1、C2、C3串联组成石英晶体谐振器的负载电容C L上,其值为 C L=C1C2C3/(C1C2+C2C3+C1C3) C q/ (C0+C L)<<1 3.电路的选择: 晶体振荡电路中,与一般LC振荡器的振荡原理相同,只是把晶体置于反馈网络的振荡电路之中,作为一感性元件,与其他回路元件一起按照三端电路的基本准则组成三端振荡器。根据实际常用的两种类型,电感三点式和电容三点式。由于石英晶体存在感性和容性之分,且在感性容性之间有一条极陡峭的感抗曲线,而振荡器又被限定在此频率范围内工作。该电抗曲线对频率有极大的变化速度,亦即石英晶体在这频率范围内具有极陡峭的相频特性曲线。所以它具有很高的稳频能力,或者说具有很高的电感补偿能力。因此选用c-b型皮尔斯电路进行制作。 图 6 工作电路 4.选择晶体管和石英晶体 根据设计要求,

相关文档
最新文档