数字电路与逻辑设计试题与答案

数字电路与逻辑设计(1)

班级 学号 姓名 成绩

一.单项选择题(每题1分,共10分)

1.表示任意两位无符号十进制数需要( )二进制数。

A .6

B .7

C .8

D .9 2.余3码10001000对应的2421码为( )。

A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。

A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。

A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++⋅+=的反函数为( )。

A. E )]E D (C C [A F ⋅++=

B. E )E D (C C A F ⋅++=

C. E )E D C C A (F ⋅++=

D. E )(D A F ⋅++=E C C

6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。

A. 与门

B. 或门

C. 非门

D. 与非门

7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。

图1

A. 或非门

B. 与非门

C. 异或门

D. 同或门

8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。

A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。

A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。

A .2 B. 3 C. 4 D. 5

二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”,

并在划线处改正。每题2分,共10分)

1.原码和补码均可实现将减法运算转化为加法运算。 ( )

2.逻辑函数7),M(1,3,4,6,

C)B,F(A,∏=则m(0,2,5)C)B,(A,F ∑=。 ( ) 3.化简完全确定状态表时,最大等效类的数目即最简状态表中的状态数目。( ) 4.并行加法器采用先行进位(并行进位)的目的是简化电路结构。 ( )

5. 图2所示是一个具有两条反馈回路的电平异步时序逻辑电路。 ( )

图2

三.多项选择题(从各题的四个备选答案中选出两个或两个以上正确答案,并将

其代号填写在题后的括号内,每题2分,共10分) 1.小数“0”的反码形式有( )。

A .0.0......0 ; B .1.0......0 ; C .0.1......1 ; D .1.1 (1)

2.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系( )。

A. G F =

B. G F ='

C. G F ='

D. 1G F ⊕=

3. 若逻辑函数∑∑==5,7),m(0,2,3,4,C)B ,G(A,,m(1,2,3,6)

C)B ,F(A,则F 和G 相“与”的结果是( )。

A .32m m +

B . 1

C . B A

D . AB

4.设两输入或非门的输入为x 和y ,输出为z ,当z 为低电平时,有( )。

A .x 和y 同为高电平 ;

B . x 为高电平,y 为低电平 ;

C .x 为低电平,y 为高电平 ;

D . x 和y 同为低电平.

5.组合逻辑电路的输出与输入的关系可用( )描述。

A .真值表 B. 流程表 C .逻辑表达式 D. 状态图

四. 函数化简题(10分)

1.用代数法求函数B A C B AC AB ⋅+⋅++=C)B,F(A, 的最简“与-或”表达式。(4分) 2.用卡诺图化简逻辑函数

F(A ,B ,C ,D)=∑m(2,3,9,11,12)+∑d(5,6,7,8, 10,13) 求出最简“与-或”表达式和最简“或-与”表达式。(6分)

五.设计一个将一位十进制数的余3码转换成二进制数的组合电路,电路框图如图3所示。(15分)

图3

要求:

1.填写表1所示真值表;

表1

ABCD WXYZ ABCD WXYZ

0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111

2.利用图4所示卡诺图,求出输出函数最简与-或表达式;

图4

3.画出用PLA实现给定功能的阵列逻辑图。

4.若采用PROM实现给定功能,要求PROM的容量为多大?

六、分析与设计(15分)

某同步时序逻辑电路如图5所示。

图5

(1) 写出该电路激励函数和输出函数;

(2) 填写表2所示次态真值表;

输入X 现态

Q

2

Q

1

激励函数

J

2

K

2

J

1

K

1

次态

Q

2

(n+1)Q

1

(n+1)

Z

(3) 填写表3所示电路状态表;

现态次态Q

2 (n+1)Q

1

(n+1)输出

Q 2Q 1X=0X=1Z

00

01

10

11

(4)设各触发器的初态均为0,试画出图6中Q

1、Q

2

和Z的输出波形。

图6

(5)改用T 触发器作为存储元件,填写图7中激励函数T 2、T 1卡诺图,求出最

简表达式。

图7

七.分析与设计(15分)

某电平异步时序逻辑电路的结构框图 如图8所示。图中:

11222212y y x x Y x x y ++= 212121211x x y y x Y y x x ++=

212y x x Z =

要求:

1.根据给出的激励函数和输出函数表达式,填写表4所示流程表;

表4

2. 判断以下结论是否正确,并说明理由。

二次状态 y 2 y 1

激励状态Y 2Y 1/输出Z

x 2x 1=00 x 2x 1=01 x 2x 1=11 x 2x 1=10 0 0 0 1 1 1

1 0

图8

① 该电路中存在非临界竞争;

② 该电路中存在临界竞争;

3.将所得流程表4中的00和01互换,填写出新的流程表5,试问新流程表对应的电路是否存在非临界竞争或临界竞争?

表5

八.分析与设计(15分)

某组合逻辑电路的芯片引脚图如图9 所示。

图9

1.分析图9 所示电路,写出输出函数F 1、F 2的逻辑表达式,并说明该电路功能。

二次状态

y 2 y 1 激励状态Y 2Y 1/输出Z x 2x 1=00 x 2x 1=01 x 2x 1=11 x 2x 1=10

0 0 0 1 1 1

1 0

2.假定用四路数据选择器实现图9 所示电路的逻辑功能,请确定图10所示逻辑电路中各数据输入端的值,完善逻辑电路。

图10

3.假定用EPROM实现图9 所示电路的逻辑功能,请画出阵列逻辑图。

《数字电路与逻辑设计》试卷A 参考答案

一.单项选择题(每题1分,共10分)

1.B ; 2.C ; 3.D ; 4.B ; 5. A ; 6.D ; 7.D ; 8.A ; 9.D ; 10.B 。

二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”,并在划线处改正。

每题2分,共10分)

1.反码和补码均可实现将减法运算转化为加法运算。 (×)

2.逻辑函数7),M(1,3,4,6,

C)B,F(A,∏=则7)m(1,3,4,6,C)B,(A,F ∑=。 (×) 3.化简完全确定状态表时,最大等效类的数目即最简状态表中的状态数目。(∨) 4.并行加法器采用先行进位(并行进位)的目的是提高运算速度。(×)

5. 图2所示是一个具有一条反馈回路的电平异步时序逻辑电路。 (×)

三.多项选择题(从各题的四个备选答案中选出两个或两个以上正确答案,并将其代号填写

在题后的括号内,每题2分,共10分)

1.AD ; 2.ABD ; 3.AC ; 4.ABC ; 5.AC 。

四. 函数化简题(10分) 1.代数化简(4分)

B

A B AC A B AC AB AC B AC AB )A C (B AC AB B

A C

B A

C AB C)B,F(A,+=++=++=++=+++=⋅+⋅++=

2.卡诺图化简(共6分)

最简“与-或”表达式为: C B C A F += (3分) 最简“或-与”表达式为: )C B (C)(A F +⋅+= (3分) 五.设计(共15分)

1.填写表1所示真值表;(4分)

ABCD WXYZ ABCD WXYZ

0000 0001 0010 0011 0100 0101 0110 0111 dddd

dddd

dddd

0000

0001

0010

0011

0100

1000

1001

1010

1011

1100

1101

1110

1111

0101

0110

0111

1000

1001

dddd

dddd

dddd

2.利用卡诺图,求出输出函数最简与-或表达式如下:(4分)

D Z

D C

D

C Y

BCD D

B

C

B X BCD

AB W

=+

=

+ +

=+

=

3.画出用PLA5分)

4.若采用PROM 实现给定功能,要求PROM 的容量为:(2分)

4(bit)24⨯

六、分析与设计(15分)

(1) 写出该电路激励函数和输出函数;(3分)

12121211Q Q Z ,Q K ,Q J ,X K X,J ===== (2输入 X 现态 Q 2 Q 1 激励函数 J 2 K 2 J 1 K 1 次态 Q 2(n+1)Q 1(n+1)

输出

Z 0 0 0 0 1 1 1 1 00 01 10 11 00 01 10 11 0 1 0 1 1 0 0 1 0 1 0 1 1 0 0 1 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 0 0 1 0 0 1 1 1 0 1 1 1 0 1 0 0 0 1 0 0

(3现态 次态 Q 2 (n+1)

Q 1

(n+1)

输出 Q 2 Q 1 X=0 X=1 Z 00

00 01 0 01 10 11 1 10 00 01 0 11

10

11

(4)设各触发器的初态均为0,根据给定波形画出Q 1、Q 2和Z 的输出波形。

(3分)

(5)改用T 触发器作为存储元件,填写激励函数T 2、T 1卡诺图,求出最简表

达式。(3分)

最简表达式为:11111

212122Q X Q X Q X T Q Q Q Q Q Q T ⊕=+=⊕=+=

七.分析与设计(15分)

1.根据给出的激励函数和输出函数表达式,填流程表; (5分)

2. 判断以下结论是否正确,并说明理由。(6分)

① 该电路中存在非临界竞争;

正确。因为处在稳定总态(00,11),输入由00变为01或者处在稳定总态(11,11),输入由11变为01时,均引起两个状态变量同时改变,会发生反馈回路间的竞争,但由于所到达的列只有一个稳定总态,所以属于非临界竞争。

② 该电路中存在临界竞争;

正确。因为处在稳定总态(11,01),输入由11变为10时,引起两个状态二次状态 y 2 y 1 激励状态Y 2Y 1/输出Z x 2x 1=00 x 2x 1=01 x 2x 1=11 x 2x 1=10 0 0 00/0 00/0 01/0 00/0 0 1 00/0 00/0 01/0 10/0 1 1 11/0 00/0 11/1 10/0

1 0 11/0 01/0 11/1 10/0

变量同时改变,会发生反馈回路间的竞争,且由于所到达的列有两个稳定总态,所以属于非临界竞争。

3.将所得流程表3中的00和01互换,填写出新的流程表,试问新流程表对应的电路是否存在非临界竞争或临界竞争?(4分)

新的流程表如下:

新流程表对应的电路不存在非临界竞争或临界竞争。

八.分析与设计(15分)

1.写出电路输出函数F 1、F 2的逻辑表达式,并说明该电路功能。(4分)

BC B A C A BC B A C A F ABC

C B A C B A C B A C B A F 21++=⋅⋅=+++=⊕⊕=

该电路实现全减器的功能功能。(1分)

2.假定用四路数据选择器实现该电路的逻辑功能,请确定给定逻辑电路中各数据输入端的值,完善逻辑电路。(5分)

1D ,A D ,A D ,0D F C

D ,C D ,C D ,C D F 3210232101========::

3.假定用EPROM 实现原电路的逻辑功能,可画出阵列逻辑图如下:(5分) 二次状态 y 2 y 1 激励状态Y 2Y 1/输出Z x 2x 1=00 x 2x 1=01 x 2x 1=11 x 2x 1=10 0 0 01/0 01/0 00/0 10/0 0 1 01/0 01/0 00/0 01/0 1 1 11/0 01/0 11/1 10/0

1 0 11/0 00/0 11/1 10/0

数字电路与逻辑设计(2)

一、【单项选择题】(本大题共20小题,每小题2分,共40分)在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。

1、和二进制数(1100110111.001)等值的十六进制数是( A )。

2、是8421BCD 码的是( B )。

3、和二进制码1100对应的格雷码是( C )。

4、TTL 电路中,高电平VH 的标称值是( C )。

5、和逻辑式A ABC +相等的式子是( D )。

6、若干个具有三态输出的电路输出端接到一点工作时,必须保证( B )。

[A] 任何时候最多只能有一个电路处于三态,其余应处于工作态

[B] 任何时候最多只能有一个电路处于工作态,其余应处于三态

[C] 任何时候至少要有两个或三个以上电路处于工作态

[D] 以上说法都不正确

7、A+B+C+A +A B =( C )。

8、下列等式不成立的是( C )。 [A] A AB A B +=+

[B] (A+B)(A+C)=A+BC [C] AB+AC+BC=AB+BC [D] 1AB AB AB AB +++=

9、欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是( B )。

10、一块数据选择器有三个地址输入端,则它的数据输入端应有( C )。

11、以下代码中为无权码的为( C )。

[A] 337.2 [B] 637.2 [C] 1467.1 [D] c37.4

[A] 1010 [B] 0101 [C] 1100 [D] 1111

[A] 0011 [B] 1100 [C]1010 [D] 0101

[A] 0.3V [B] 2.4V [C] 3.6V [D] 5V

[A] ABC [B] 1+BC [C] A [D] A BC +

[A] A [B] A

[C] 1 [D] A+B+C

[A] 5 [B] 6 [C] 10 [D] 53

[A] 3 [B] 6 [C] 8 [D] 1

[A] 8421BCD 码 [B] 5421BCD 码

[C] 余三码 [D] 2421BCD 码

12、将幅值、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为( B )。

13、以下四种转换器,( A )是A/D 转换器且转换速度最高。

[A] 并联比较型 [B] 逐次逼近型

[C] 双积分型 [D] 施密特触发器

14、多谐振荡器可产生( B )。

15、N 个触发器可以构成能寄存( B )位二进制数码的寄存器。

16、同步时序电路和异步时序电路比较,其差异在于后者( B )。

[A] 没有触发器 [B] 没有统一的时钟脉冲控制

[C] 没有稳定状态 [D] 输出只与内部状态有关

17、555定时器不可以组成( D )。

[A] 多谐振荡器 [B] 单稳态触发器

[C] 施密特触发器 [D] JK 触发器

18、若RAM 的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输出线(即字线加位线)共有( C )条。

[A] 8 [B] 16 [C] 32 [D] 256

19、随机存取存储器具有( A )功能。

20、只读存储器ROM 中的内容,当电源断掉后又接通,存储器中的内容( D )。

二、【填空题】(本大题共10小题,每小题2分,共20分;请将答案填写在答题卷相应题号处)

21、钟控RS 触发器的特性方程为

( )(约束条件0RS Q R S Q n 1n =+=+ )。

22、如果时序逻辑电路的输出Z 仅取决于存储电路状态Q,而与外部输入X 无关,或该时序电路没有外部输入,这种电路称为(摩尔型电路)。

23、将8k ×4位的RAM 扩展为64k ×8位的RAM ,需用( 16)片8k ×4位的RAM ,同时还需用一片( 3线-8线)译码器。

24、A /D 转换器的转换过程包括(取样)、(保持)、(量化)和(编码)。

25、欲将一个正弦波电压信号转变为同频率的矩形波,应当采用(施密特触发器)电路。

26、十进制整数转换成二进制整数的方法是(将十进制整数除以2取余数倒读)。

27、BCD 码的中文含义是 (二—十进制码)。

28、最基本的逻辑门电路有与门,(或门)和(非门)。 其中与门的特点是输入(全为高电平),输出(高电平)。

29、逻辑门电路中,低电平通常用(0)表示,高电平通常用 (1)表示。

30、七段数码显示器有两种接法,称(共阴极接法)接法和(共阳极接法)接法。

三、【简答题】(本大题共4小题,每小题5分,共20分;请将答案填写在答题卷相应题号

[A] 采样 [B] 量化 [C] 保持 [D] 编码

[A] 正弦波 [B] 矩形脉冲 [C] 三角波 [D] 锯齿波

[A] N-1 [B] N [C] N+1 [D] 2N

[A] 读/写 [B] 无读/写 [C] 只读 [D] 只写

[A] 全部改变 [B] 全部为0 [C] 不可预料 [D] 保持不变

处)

31、利用公式和定理证明。

AB+BCD+AC+BC=AB+C

证明:AB+BCD+AC+BC

=AB+AC+BC

=AB+C

32、格雷码的特点是什么?为什么说它是可靠性代码? 答:格雷码的任意两组相邻代码之间只有一位不同,其余各位都相同,它是一种循环码.这个特性使它在形成和传输过程中可能引起的错误较少,因此称之为可靠性代码. 33、逻辑函数的三种表示方法如何相互转换? 答:从真值表写出逻辑函数式的一般方法:

1)找出真值表中使函数Y=1的那些输入变量取值组合。

2)每组输入变量取值的组合对应一个乘积项:1-->原变量,0-->反变量。

3)将乘积项相加。

从逻辑式列出真值表:将输入变量的所有组合状态逐一代入逻辑式求出函数值,列成表。

从逻辑式画出逻辑图:用图形符号代替逻辑式中的运算符号,就可以画出逻辑图。

从逻辑图写出逻辑式:从输入端到输出端逐级写出每个图形符号对应的逻辑式。

从逻辑式画出卡诺图:将逻辑函数化成最小项和的标准形式,在对应的位置上添1,其余为0。

34、已知四变量函数F 的反函数表达式为F ABCD ABCD =+,试用卡诺图求F 的最简与或式。

解:D A C B D C B A F +++=

四、【应用题】(本大题共2小题,每题10分,共20分;请将答案填写在答题卷相应题号处)

35、画出下图由或非门组成的基本R -S 触发器输出端Q 、Q 的电压波形,输入端S D ,R D 的电压波形如图中所示。

答案如下:

36、用3线-8线译码器74LS138和与非门实现逻辑函数。 123Y AB AC Y ABC BC AB

Y ABC AC =+=++=+

解:将函数化为最小项之和式,再变为与非-与非式:(以A 2A 1A 0=ABC ) 64364337532753227

547541m m m m m m C AB C B A BC A C A BC A Y m m m m m m m m C B A ABC BC A C B A B A BC C B A Y m m m m m m ABC C B A C B A AC B A Y ⋅⋅=++=++=+=⋅⋅⋅=+++=+++=++=⋅⋅=++=++=+=

数字电路与逻辑设计试题及答案

《数字电路与逻辑设计》试题1 参考答案 一.填空题(10) 1.2048 ×8位的RAM有10根地址线,8根数据线。 2.二进制数A=(1011010)2,B=(101111)2,求: A+B=(10001001)2;A一B=( 101011 )2 3.时序逻辑电路的输出不仅取决于电路.输入信号的状态,而且还与电路 原来的状态有关。 4.二硅极管具有单向导通的特性,它的正向导通电压为0.7V。 5.n变量的逻辑函数有2n个最小项,任意两个最小项的乘积为0。 二.选择题(10) 1.当晶体三极管b时处于导通状态。 a.发射结和集电结均属于反向偏置; b.发射结正向偏置,集电结反向偏置; c.发射结和集电给均属于正向 偏置 2.与晶体三极管相比,MOS管具有的特点是a,c,d。 a.输入电阻高; b.受温度影响大; c.便于集成; d.电压控制元件; e.极间电容影响小 3.欲将二进制代码翻译成输出信号选用b,欲将输入信号编成二进制代码选用a,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用c,欲实现两个相同位二进制数和低位进位数的相加运算选用e。 a.编码器; b.译码器; c.多路选择器; d.数值比较器; e.加法器; f.触发器;g.计数器;h.寄存器 4.在逻辑函数的卡诺图化简中,若被合并(画圈所包含)的最小项个数越多,则说明化简后c。 a.乘积项个数越少; b.实现该功能的门电路少; c.该乘积项含因子少 5.逻辑函数Y=A B C+A+B+C的最简与或形式为1。 a. 已是最简与或形式; b. 0 ; c. 1 ; d. B+C 三.简答题答案; 1.简述用TTL与非门、或非门、异或门实现反相器功能.多余输入端的连接方法。 TTL与非门的余输入端应接高电平,或非门的余输入端应接低电平,异或门实现反相器功能是应将余输入端和输入信号并在一起。 2.举例说明什么叫竞争冒险-现象。 门电路两个输入信号同时向相反的逻辑电平跳变,比如一个从1变为0,另一个从0变为1时,所出现的可能出现尖峰脉冲的现象称为竞争-冒险。 3.在数据处理系统中,在什么情况下要用到A/D与D/A转换器,并说明它们的主要参数在数据处理系统中,当需要处理现场的连续变化的信号时,或者数据处理后送给的执行机构需要连续调节时,要用到A/D与D/A转换器。它的主要参数有分辨率,精度和转换时间等。 4.下图(a)与(b)分别为二极管组成的门电路,(c)为输入端A,B,C的波形。(1)分析各电路的逻辑功能,写出其表达式。(2)画出F1和F2的波形。 (1)实现与的功能,Y=ABC(2)实现或的功能,Y=A+B+C

专科《数字电路与逻辑设计》_试卷_答案

专科《数字电路与逻辑设计》 一、(共75题,共150分) 1. 多少个二进制数字可以组成一位十六进制数字?()(2分) A.2 B.3 C.4 D.5 .标准答案:C 2. 二进制数(1111101.0101)2转换为八进制为:()(2分) A.037.25 B.175.24 C.125.3l25 D.761.2 .标准答案:B 3. 十进制数9的8421码为()。(2分) A.1000 B.1011 C.1001 D.1010 .标准答案:C 4. 二进制数?0.1011的原码是()。(2分) A.1.1011 B.0.1011 C.1.0100 D.1.0101 .标准答案:A 5. 逻辑函数=()。(2分) A.A+ B+ C B. C.1 D.0 .标准答案:C 6. 逻辑函数的F(A,B,C)=的标准与或式为()。(2分)A. B. C. D. .标准答案:D 7. 与逻辑函数F =相等的函数为()。(2分)A.AB B. C. D.AB+C .标准答案:D 8. 逻辑函数的反函数为()(2分) A. B. C. D. .标准答案:B 9. 在下列三个逻辑函数表达式中,哪一个是最小项表达式?()(2分) A. B. C. D. .标准答案:A 10. 逻辑函数式F =等于()。(2分) A.0 B.1 C.A D. .标准答案:B 11. 下列几种TTL电路中,输出端可实现线与功能的电路是()。(2分) A.或非门 B.与非门 C.异或门 D.OC门 .标准答案:D 12. 典型的TTL与非门电路使用的电源电压为()。(2分) A.5 V B.3.6 V C.0.35 V D.3—18 V .标准答案:A 13. 基本RS触发器在正常工作时,它的约束条件是,则它不允许输入S和R 的取值分别为()。(2分) A.0,0 B.0,1 C.1,0 D.1,1 .标准答案:D 14. 若JK触发器的J=0,K=0,在CLK触发后,输出Q的状态为( )。(2分) A.0 B.1 C.不变 D.与前一状态Q反相 .标准答案:C

第一学期《数字电子技术》课程期末考试试卷A和答案数字电路与逻辑设计

北京信息科技大学 2008~2009学年第一学期 《数字电子技术》课程期末考试试卷A 课程所在学院:自动化学院适用专业班级:测控0601-03考试形式:闭卷 注意:所有答案写在答题纸上,写在试卷上无效。 一、填空题(本题满分20分,共含10道小题,每小题2分) 1∙(7AC1)16=( )2=( 1=( )]0。 2.具有推挽式输出级的TT1电路(是/否)可以将输出端并联使用,普通的CMOS门(是/否)可以将输出端并联使 用。(输入端的状态均为不定) 3.相同编号的最小项和最大项存在的关系为o 4.(+1oo"的原码为,反码为,补码为o 5.若A是逻辑变量,则A㊉I=。 二、逻辑函数式的化筒(12分) 1 .利用公式法化简为最简与或式: F=AB∖A f CD+(AD+3'C')')(4+B) 2 .利用卡诺图法将逻辑函数化简为最简与或式: y(A,B,G=>z(OJ2,4),给定约束条件为 m3+rτ‰t+mβ+rr‰j=O

下面电路图中,写出输出信号是什么状态(高电平、低电平或高阻态),已知乂为74系四、试用一 片8选1数据选择器74HC151产生逻辑函数: Y=AB r CD÷48(C÷r>)+ABXCΦZ))÷ABC r 要求给出设计的全过程,并画出逻辑电路图。(12分) s ,A2A4Y O O O O O O O1O∣ O O1O D1 O O11 O1O O O1O1/人 O11O2 O1115 1X X X高阻 五、TT1主从JK触发器的输入波形如图所示,画出输出端Q的波形(12分) CP 六、分析如图时序逻辑电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出 电路的状态转换图,说明电路的功能以及能否自启动。(14分)

大学《数字电路与逻辑设计》期末试卷含答案

大学《数字电路与逻辑设计》试题 一、选择、填空、判断题(30分,每空1分) 1.和CMOS相比,ECL最突出的优势在于D 。 A.可靠性高 B. 抗干扰能力强 B.功耗低 D. 速度快 2.三极管的饱和深度主要影响其开关参数中的C 。 A.延迟时间t d B. 上升时间t r C. 存储时间t s D. 下降时间t f 3.用或非门组成的基本RS触发器的所谓“状态不确定”是发生在R、S 上 加入信号D 。 A.R=0, S=0 B. R=0, S=1 C. R=1, S=0 D. R=1, S=1 4.具有检测传输错误功能的编码是:C 。 A. 格雷码 B. 余3码 C. 奇偶校验码 5.运用逻辑代数的反演规则,求函数F=A̅[B+(C̅D+E̅G)]的反函数F̅:B 。 A.A+B̅C+D̅E+G B.A+B̅(C+D̅)(E+G̅) C.A̅+B(C̅+D)(E̅+G) 6.下列叙述中错误的有:C 。

A. 逻辑函数的标准积之和式具有唯一性。 B. 逻辑函数的最简形式可能不唯一。 C. 任意两不同的最小项之和恒等于1。 7. 函数F=(A+B+C ̅)(A ̅+D)(C+D)(B+D+E)的最简或与式为:A 。 A.F=(A+B+C ̅)(A ̅+D)(C+D) B.F=(A+B+C ̅)(A ̅+D) C.F=ABC ̅+A ̅D+CD 8. 逻辑函数F (A,B,C,D )=∑(1,3,4,5,6,8,9,12,14),判断当输入变量ABCD 分别从(1) 0110→1100,(2) 1111→1010时是否存在功能冒险:B 。 A. 存在,存在 B. 不存在,存在 C.不存在,不存在 9. 对于K =3的M 序列发生器,反馈函数为Q 2⊕Q 0,则产生M 序列:C 。 A. 1010100 B. 1110101 C. 1110100 10. 在进行异步时序电路的分析时,由于各个触发器的时钟信号不同,因此我们应该把时钟信号引入触发器的特征方程,对于D 触发器,正确的是:A 。 A.CP Q CP D Q n 1 n ⋅+⋅=+ B.CP D Q 1 n ⋅=+ C.CP D Q 1 n +=+ 11. 集电极开路与非门(OC 门)的输出端可以直接相连实现线连接逻辑,这种线连接逻辑是:C 。 A. 线或 B. 与非 C. 线与 12. 各种A/D 转换器电路类型中转换速度最快的是A 。 A. 并联比较型 B. 逐次渐进型 C. 双积分型 D. 计数型 13. 把串行输入的数据转换为并行输出的数据,可以使用B 。 A. 寄存器 B. 移位寄存器 C. 计数器 D. 存储器 14. 在进行状态编码时,优先级最高的相邻编码规则是A 。 A. 行相邻 B. 列相邻 C. 输出相邻 15. 在数字系统中8位二进制数称为一个字节,若用一个字节对信息进行编码,最多可表示 A 种信息? A. 256种 B. 8种 C.128种 16. 卡诺图有两个特殊的方格,分别称为0重心(全0格)和1重心(全1格),

数字电路与逻辑设计复习资料(含答案)

数字电路与逻辑设计复习资料 一、单项选择题 1. 十进制数53转换成八进制数应为( B )。 A. 64 B.65 C. 66 D. 110101 2.将十进制数(18)10 转换成八进制数是(B )。 A. 20 B.22 C. 21 D. 23 3. 十进制数53转换成八进制数应为( D )。 A. 62 B.63 C. 64 D. 65 4. 当逻辑函数有n 个变量时,共有( D )种取值组合。 A. n B. 2n C. 2n D. 2n 5. 为了避免干扰,MOS 与门的多余输入端不能( A )处理。 A. 悬空 B. 接低电平 C. 与有用输入端并接 D. 以上都不正确 6. 以下电路中可以实现“线与”功能的有( C )。 A. TTL 与非门 B. TTL 或非门 C. OC 门 D. TTL 异或门 7. 用6264型RAM 构成一个328K ?位的存储器,需要( D )根地址线。 A. 12 B. 13 C. 14 D. 15 8. 同步时序电路和异步时序电路比较,其差异在于后者( B )。 A. 没有触发器 B. 没有统一的时钟脉冲控制 C. 没有稳定状态 D. 输出只与内部状态有关 9. 用6264型RAM 构成3232K ?位的存储器,需要( D )片进行扩展。 A. 4 B.8 C. 14 D.16 10. 逻辑函数()F A A B =⊕⊕ =( D )。 A. A B e B. A C. A B ⊕ D. B 11. 函数F ABC ABCD =+的反函数为( C )。 A. ()()F A B C A B C D =+++++ B. ()()F ABC ABCD =

(完整版)数字电路与逻辑设计试题与答案

数字电路与逻辑设计(1) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”, 并在划线处改正。每题2分,共10分) 1.原码和补码均可实现将减法运算转化为加法运算。 ( )

数字电路与逻辑设计试题及答案2套

1. 三极管有NPN 和PNP 两种类型,当它工作在放大状态时,发射结____,集电 结______;NPN 型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2. 把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______逻辑赋 值。一种电路假设在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3. 四位二进制编码器有____个输入端;____个输出端。 4. 将十进制数287转换成二进制数是________;十六进制数是_____ __。 5. 根据触发器功能的不同,可将触发器分成四种,分别是____触发器、___ _触发器、____触发器和____触发器。 6. 以下图所示电路中,Y 1 =______;Y 2 =______;Y 3 =______。 1. A. B. C. 2. 在以下三个逻辑函数表达式中,____是最小项表达式。 A . B A B A )B ,A (Y += B. C B C B A BC A )C ,B ,A (Y ++= C.C AB ABC B C A C B A ) D ,C ,B ,A (Y +++⋅⋅= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.*触发的特性表如下〔A 、B 为触发器的输入〕其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+

数字逻辑与数字电路习题【有答案】

《数字逻辑与数字电路》 习题案例 (计算机科学与技术专业) 2011年7月 计算机与信息学院计算机科学技术系

一、选择题 1.十进制数33的余3码为 。 A. B. C. D. 2.二进制小数-0.0110的补码表示为 。 A .0.1010 B .1.1001 C .1.0110 D .1.1010 3.两输入与非门输出为0时,输入应满足 。 A .两个同时为1 B .两个同时为0 C .两个互为相反 D .两个中至少有一个为0 4.某4变量卡诺图中有9个“0”方格7个“1”方格,则相应的标准与或表达式中共有多少个与项 ? A . 9 B .7 C .16 D .不能确定 5. 下列逻辑函数中,与A F =相等的是 。 )(A 11⊕=A F )(B A F =2⊙1 )(C 13⋅=A F )(D 04+=A F 6. 设计一个6进制的同步计数器,需要 个触发器。 )(A 3 )(B 4 )(C 5 )(D 6 7. 下列电路中,属于时序逻辑电路的是 。 )(A 编码器 )(B 半加器 )(C 寄存器 )(D 译码器 8. 列电路中,实现逻辑功能n n Q Q =+1的是 。 )(A )(B 9. 的输出端可直接相连,实现线与逻辑功能。 )(A 与非门 )(B 一般TTL 门 )(C 集电极开路OC 门 )(D 一般CMOS 门 10.以下代码中为无权码的为 。 A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 11.以下代码中为恒权码的为 。 A .8421BCD 码 B . 5421BCD 码 C . 余三码 D . 格雷码 12.一位十六进制数可以用 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 CP Q CP Q CP Q 0 CP

数字电路与逻辑设计习题及参考答案全套

数字电路与逻辑设计习题及参考答案 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A .(256)10 B .(127)10 C .(128)10 D .(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。 A .A+ B B.A+ C C.(A+B )(A+C ) D.B+C 9.在何种输入情况下,“与非”运算的结果是逻辑0。 D A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果是逻辑1。 A A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D. 任一 输入为1 11.十进制数25用8421BCD 码表示为 B 。 A .10 101 B .0010 0101 C .100101 D .10101 12.不与十进制数(53.5)10等值的数或代码为 C 。 A .(0101 0011.0101)8421BCD B .(35.8)16 C .(110101.11)2 D .(65.4)8

《数字电路与逻辑设计》综合练习题及解答

《数字电路与逻辑设计》综合练习题及解答 第一部分习题 一、填空 1.将十进制数转换成等值的二进制数、十六进制数。 10 = 2= 16 2.10= 余3BCD= 8421BCD 3.16= 2 4.一位二进制数只有2个数,四位二进制数有个数;为计64个数,需要位二进制数。 5.二进制数2的等值八进制数是8。 6.二进制数2的等值十进制数是10。 7.欲对100个对象进行二进制编码,则至少需要位二进制数。 8.二进制数为000000~111111能代表个十进制整数。9.为将信息码10110010配成奇校验码,其配奇位的逻辑值为;为将信息码01101101配成偶校验码,其配偶位的逻辑值为。 10.格雷码的特点是。 11.n变量函数的每一个最小项有个相领项。 12.当ij时,同一逻辑函数的两个最小项mimj=。 2n113.n变量的逻辑函数,mi为最小项,则有 mi=。 i014.逻辑函数FABCD的反函数F=。 15.逻辑函数FA(BC)的对偶函数F是。

16.多变量同或运算时,=0,则xi=0的个数必须为。17.逻辑函数F(A,B,C)1C18. 逻辑函数F(A,B,C,D)( )。 19.逻辑函数F(A,B,C)(ABC)(ABC)的最简与或式为。20.巳知函数的对偶式F(A,B,C,D)ABCDBC,则它的原函数F =。* * * * * 21.正逻辑约定是、。 22.双极型三极管截止状态过渡到饱和状态所需的过渡时间称为时间,它时间和时间两部分组成,可用等式描述。 23.双极型三极管饱和状态过渡到截止状态所需的过渡时间称为时间,它时间和时间两部分组成,可用等式描述。 1 。 AB的最小项表达式为F(A,B,C)= m(1,2,3,4,8,10)(0,12,14)的最简与或式为F= 24.三极管反相器带灌电流负载时,负载电流的方向是从,此时反相器输出电平。 25.三极管反相器带拉电流负载时,负载电流的方向是从,此时反相器输出电平。 26.输入端的噪声容限说明。噪声容限越大说明该门的。 27.TTL与非门的导通延迟时间用表示,是截止延迟时间。平均传输延迟时间t pd = 。

数字电路与逻辑设计_华中科技大学中国大学mooc课后章节答案期末考试题库2023年

数字电路与逻辑设计_华中科技大学中国大学mooc课后章节答案期末考试题库2023年 1.二进制并行加法器使用先行进位的主要目的是( ) 参考答案: 提高运算速度 2.关于四位二进制并行加法器74283,下面说法正确的是() 参考答案: 它可以实现加法运算_它可以实现减法运算_它有9个输入端,5个输出端_它可以实现代码转换 3.关于计数器74290,下面说法正确的是 参考答案: 其内部包含四个触发器_它可以实现模小于10的任意计数器_它可以实现 8421码模10计数器 4.将十进制数7 5.25转换成十六进制数为() 参考答案: 4B.4 5.余3码10010101.10101000对应的二进制数为 ( ) 参考答案: 111110.11

6.同步时序电路设计中,状态编码采用相邻编码法的目的是( )。 参考答案: 减少电路中的逻辑门 7.在正常工作时,3-8线译码器74138的使能端【图片】【图片】【图片】 的值为( ) 参考答案: 100 8.相同功能的Moore型时序电路比Mealy型时序电路多一个状态,因此 Moore型比Mealy型的时序电路多一个触发器。 参考答案: 错误 9.在设计同步时序逻辑电路时,实现相同功能,使用D触发器的电路一定比 使用JK触发器的电路简单。 参考答案: 错误 10.组合逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组 合,而与过去的输入值无关。 参考答案: 正确 11.为了实现计数功能,集成寄存器74194的控制端S0S1可以是()。

参考答案: 01_10 12.用逻辑代数公理、定理和规则可以证明【图片】。 参考答案: 正确 13.使用8路选择器实现4变量逻辑函数F(A,B,C,D),使用ABC作为控制变量, 数据输入端D0-D7可能的值有( )。 参考答案: 1_D 14.带符号二进制数–00101的补码为() 参考答案: 111011 15.根据反演规则和对偶规则可写出逻辑函数【图片】的反函数【图片】=(), 对偶函数【图片】=()。 参考答案: ; 16.用卡诺图化简法求逻辑函数【图片】的最简与或表达式和最简或与表达式分 别为()。 参考答案: ;

《数字电路与逻辑设计》试题及答案

《数字电路与逻辑设计》试题 院校_ _ 年级_____ _____ 专业 层次 专升本 姓名______________ 分数______________ 一. 填空题(每小题2分,共10分) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实 现,但逻辑函数的一般表达式 唯一的,而其标准表达式 唯一的。 2.任意两个最小项之积为 ,任意两个最大项之和为 。 3.对于逻辑函数BC C A AB F ++=,为了化简,利用逻辑代数的基本定理,可表示为C A AB F +=,但这 可能引起 型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A +。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII 码,这个ASCII 码的值 为 。 5.在3.3V 供电的数字系统里,所谓的高电平并不是一定是3.3V ,而是有一个电压范围,我们把这个电压 范围称为 容限;同样所谓的低电平并不是一定是0V ,而也是有一个电压范围,我们把这个电压范围称为 容限。 二. 选择题(每小题2分,共10分) 1.在下列程序存储器的种类中,可在线改写的有 。 a. PROM ; b. E 2 PROM ; c. EPROM ; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实 现的空间密度最小、能耗最低、能得到普及应用的实现方式是 。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列 各项中,为组合逻辑电路的是 ,为时序逻辑电路的是 。 a. 触发器; b. 译码器; c. 移位寄存器;d. 计数器;e. 加法器; f. 编码器; g. 数值比较器; h. 寄存器; i. 多路选择器 4. 卡诺图上变量的取值顺序是采用 的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5.在可编程逻辑芯片中,有PROM 、PAL 、GAL 、CPLD 等多种结构方式,其中PROM 是 ,PAL 是 , GAL 是 ,CPLD 是 。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三. 简答题(每小题10分,共50分) 1.分别画出JK 和D 触发器的电路符号图,并分别画出将JK 触发器转换成D 触发器以及将D 触发器转换 成JK 触发器的电路连接图。 2.三个人在做翻手掌游戏,当有一位出掌信息(掌心、掌背)与其他两位不同时,该位出局。请按组合 逻辑电路设计的步骤,写出表示所有出局可能的函数表达式,并画出其电路图。 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标,并进一步说明在什么情况下必须在 A/D 转换器前加采样·保持电路。 4.用ROM 构成能实现函数2x y =的运算表电路(注:输入x 为3位二进制数B2、B1、B0,输出y 为5位

数字电路与逻辑设计习题答案

数字电路与逻辑设计习题答案 数字电路与逻辑设计习题答案 数字电路与逻辑设计是计算机科学与工程领域中的重要基础课程,它涉及到数 字信号的处理和转换,以及逻辑门电路的设计和分析。学习这门课程时,习题 是巩固知识和提高能力的重要途径。下面将给出一些常见的数字电路与逻辑设 计习题的答案,希望对大家的学习有所帮助。 1. 设计一个4位二进制加法器电路,实现两个4位二进制数的相加。 答案:一个4位二进制加法器电路可以由四个全加器电路组成。每个全加器电 路有三个输入:两个被加数和一个进位输入,以及两个输出:和位和进位输出。将四个全加器电路按位级联,将进位输出连接到下一位的进位输入,最后一个 全加器的进位输出作为最高位的进位输出。和位的输出即为两个4位二进制数 的和。 2. 给定一个3输入的逻辑电路,输出为1的条件是至少有两个输入为1。请设 计一个电路,实现这个逻辑功能。 答案:可以使用与门和或门组合的方式来实现这个逻辑功能。首先,将三个输 入分别与一个与门的三个输入相连,将输出连接到一个或门的输入。然后,将 三个输入分别与一个或门的三个输入相连,将输出连接到与门的输入。这样, 当至少有两个输入为1时,与门的输出为1,或门的输出也为1。 3. 给定一个4输入的逻辑电路,输出为1的条件是输入中有奇数个1。请设计 一个电路,实现这个逻辑功能。 答案:可以使用异或门实现这个逻辑功能。首先,将四个输入两两分组,然后 将每组的输出与另一组的输出进行异或操作。最后,将四个异或门的输出连接

到一个或门的输入。这样,当输入中有奇数个1时,异或门的输出为1,或门的输出也为1。 4. 设计一个4位比较器电路,实现两个4位二进制数的大小比较。 答案:一个4位比较器电路可以由四个比较器组成。每个比较器有两个输入:两个被比较的位,以及一个输出:比较结果。将四个比较器电路按位级联,将每个比较器的输出连接到下一位比较器的输入。最后一个比较器的输出即为两个4位二进制数的大小比较结果。 5. 给定一个3输入的逻辑电路,输出为1的条件是输入中的1的个数大于等于2。请设计一个电路,实现这个逻辑功能。 答案:可以使用与门和或门组合的方式来实现这个逻辑功能。首先,将三个输入分别与一个与门的三个输入相连,将输出连接到一个或门的输入。然后,将三个输入分别与一个或门的三个输入相连,将输出连接到与门的输入。这样,当输入中的1的个数大于等于2时,与门的输出为1,或门的输出也为1。 以上是一些常见的数字电路与逻辑设计习题的答案,希望对大家的学习有所帮助。通过解答这些习题,可以加深对数字电路与逻辑设计的理解,提高解决问题的能力,并为进一步学习相关课程打下坚实的基础。希望大家能够充分利用习题来巩固知识,提高技能,为未来的学习和工作做好准备。

数字电路与逻辑设计复习资料(含答案)

数字电路与逻辑设计复习资料 一、单项选择题 1. 十进制数53转换成八进制数应为( B )。 A. 64 B.65 C. 66 D. 110101 2.将十进制数(18)10 转换成八进制数是(B )。 A. 20 B.22 C. 21 D. 23 3. 十进制数53转换成八进制数应为( D )。 A. 62 B.63 C. 64 D. 65 4. 当逻辑函数有n 个变量时,共有( D )种取值组合。 A. n B. 2n C. 2n D. 2n 5. 为了避免干扰,MOS 与门的多余输入端不能( A )处理。 A. 悬空 B. 接低电平 C. 与有用输入端并接 D. 以上都不正确 6. 以下电路中可以实现“线与”功能的有( C )。 A. TTL 与非门 B. TTL 或非门 C. OC 门 D. TTL 异或门 7. 用6264型RAM 构成一个328K ⨯位的存储器,需要( D )根地址线。 A. 12 B. 13 C. 14 D. 15 8. 同步时序电路和异步时序电路比较,其差异在于后者( B )。 A. 没有触发器 B. 没有统一的时钟脉冲控制 C. 没有稳定状态 D. 输出只与内部状态有关 9. 用6264型RAM 构成3232K ⨯位的存储器,需要( D )片进行扩展。 A. 4 B.8 C. 14 D.16 10. 逻辑函数()F A A B =⊕⊕ =( D )。 A. A B B. A C. A B ⊕ D. B 11. 函数F ABC ABCD =+的反函数为( C )。 A. ()()F A B C A B C D =+++++ B. ()()F ABC ABCD =

《数字电路与逻辑设计》试卷A参考答案

《数字电路与逻辑设计》试卷A 参考答案 一.单项选择题(每题1分,共10分) 1.B ; 2.C ; 3.D ; 4.B ; 5. A ; 6.D ; 7.D ; 8.A ; 9.D ; 10.B 。 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”,并在划线处改正。 每题2分,共10分) 1.反码和补码均可实现将减法运算转化为加法运算。 (×) 2.逻辑函数7),M(1,3,4,6, C)B,F(A,∏=则7)m(1,3,4,6,C)B,(A,F ∑=。 (×) 3.化简完全确定状态表时,最大等效类的数目即最简状态表中的状态数目。(∨) 4.并行加法器采用先行进位(并行进位)的目的是提高运算速度。(×) 5. 图2所示是一个具有一条反馈回路的电平异步时序逻辑电路。 (×) 三.多项选择题(从各题的四个备选答案中选出两个或两个以上正确答案,并将其代号填写 在题后的括号内,每题2分,共10分) 1.AD ; 2.ABD ; 3.AC ; 4.ABC ; 5.AC 。 四. 函数化简题(10分) 1.代数化简(4分) B A B AC A B AC AB AC B AC AB )A C (B AC AB B A C B A C AB C)B,F(A,+=++=++=++=+++=⋅+⋅++= 2.卡诺图化简(共6分) 最简“与-或”表达式为: C B C A F += (3分) 最简“或-与”表达式为: )C B (C)(A F +⋅+= (3分) 五.设计(共15分) 1.填写表1所示真值表;(4分) 表1 真值表 ABCD WXYZ ABCD WXYZ

华东理工大学数字电路与逻辑设计期末复习题及参考答案

数字电路与逻辑设计_202210 一、单选题(共10题,每题2分,共20分) 1. ( B)属于“易失性”存储器件,它们中存储的信息在断电后均将消失。 A.半导体ROM B.半导体RAM C.磁盘存储器 D.光盘存储器 2. 触发器符号图中CP端有“∧”、有“○”表示触发器采用( B )触发。 A.上升沿 B.下降沿 C.高电位 D.低电位 3. 设触发器的初态为,将D 触发器的输出端连接到D输入端,则当CP脉冲到来时,触发器的状态为(D )。 A.0 B.1 C. D. 4. 设触发器的初态为,将触发器的、输出端分别连接到、输入端,即=、=,则当CP 脉冲到来时,触发器的状态为(C )。 A.0 B.1 C. D. 5. 触发器符号图中CP端无“∧”、无“○”表示触发器采用(C )触发。 A.上升沿 B.下降沿 C.高电位 D.低电位 6. 若同意为1、不同意为0,否决为1、不否决为0,则下列三输入端( C )门电路能实现“三人一致不同意才否决”的功能。 A.与 B.与非 C.或非 D.异或 7. 设触发器的初态为,将触发器的、输出端分别连接到、输入端,即=、=,则当CP 脉冲到来时,触发器的状态为(D )。 A.0 B.1 C. D. 8. =(C )。 A. B. C.0 D.1 9. ++=(B )。 A.+ B.+ C.+ D.+ 10. 触发器符号图中CP端有“∧”、无“○”表示触发器采用( A )触发。 A.上升沿 B.下降沿 C.高电位 D.低电位 二、判断题(共10题,每题1.5分,共15分) 1. 实现两个一位二进制数相加,产生一位和值及一位进位值,但不考虑低位来的进位位的加法器称为全加器。( 错误 ) 2. 组合逻辑电路其任一时刻的输出不仅取决于该时刻的输入组合,而与电路以前的输入也有关。( 错误 ) 3. 任何两个最小项之与恒为“1”,n个变量的函数的全体最小项之或恒为“0”。( 错误 ) 4. 当CP 无效时,触发器的状态为0态;当CP 有效时,触发器的状态为1态。 ( 错误 ) 5. 用8片八选一数据选择器芯片可扩展实现一个64选一数据选择器。(错误 ) 6. 钟控触发器也称同步触发器,钟控触发器状态的变化不仅取决于输入信号的变化,还取决于时钟信号的作用。( 正确 ) 7. 触发器在输入信号发生变化前的状态称为“次态”,用表示,而输入信号发生变化后触发器所进入的状态称为“现态”,用表示。( 错误 ) 8. 设触发器的初态为,将D 触发器的输出端连接到D输入端,则当CP脉冲到来时,触发器的状态为1。( 错误 ) 9. 同步触发器使用时必须保证、中至少有一个为“1”,这个条件也称为基本RS触 发器输入信号的约束条件。( 错误 ) 10. 在实际应用中,为了确保数字系统可靠工作,要求触发器来一个CP至多翻转一次。对于同步式触发器来说,这就意味着在CP=1期间,必须保持输入信号稳定不变,否则,触发器状态将在此期间发生“空翻”。(正确 ) 三.计算与化简(每小题5分,共15分) 1. 完成下列代码转换:(1011 1001 0110)余3BCD = ()8421BCD

数字电路与逻辑设计试题及答案试卷A

数字集成电路基础试题 A 考试时间:120分钟 班级: 姓名: 学号: 成绩: 一、填空题共20分 1. 数字信号的特点是在 上和 上都是断续变化的,其高电平和低电平常用 和 来表示; 2. 常用的BCD 码有 、 、 等,常用的可靠性代码有 、 等; 3. 将十进制数45转换成8421码可得 ; 4. 同步RS 触发器的特性方程为Q n+1=__________;约束方程为 ; 5. 数字电路按照是否有记忆功能通常可分为两类: 、 ; 6. 当数据选择器的数据输入端的个数为8时,则其地址码选择端应有 位; 7.能将模拟信号转换成数字信号的电路,称为 ;而将能把数字信号转换成模拟信号的电路称为 ; 8.时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和 时序电路; 9. 两片中规模集成电路10进制计数器串联后,最大计数容量为 位; 二、单项选择题共 20分 1. 对于四位二进制译码器,其相应的输出端共有 ; A . 4个 B. 16个 C. 8个 D. 10个

2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为 ; A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3. 图2.1所示是 触发器的状态图; A. SR B. D C. T D. T ˊ 4.在下列逻辑电路中,不是组合逻辑电路的有 ; A.译码器 B.编码器 C.全加器 D.寄存器 5.欲使D 触发器按Q n+1=Q n 工作,应使输入D= ; A. 0 B. 1 C. Q D. Q 6.多谐振荡器可产生 ; A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7. N 个触发器可以构成最大计数长度进制数为 的计数器; A.N B.2N C.N 2 D.2N 8.随机存取存储器具有 功能; A.读/写 B.无读/写 C.只读 D.只写 9.只读存储器ROM 中的内容,当电源断掉后又接通,存储器中的内容 ; A.全部改变 B.全部为0 C.不可预料 D.保持不变 10. 555定时器构成施密特触发器时,其回差电压为 ; A .VCC B. 1/2VCC C. 2/3VCC D. 1/3VCC 三、设计题 共20分 图2.1

2023年专升本数字电路与逻辑设计试卷答案

专升本《数字电路与逻辑设计》 一、(共75题,共150分) 1. 十进制数1 2.75用二进制表达应为:()(2分) A.1010.10 B.1100.11 C.1010.011 D.1100.01 .标准答案:B 2. 无符号位的十六进制数减法(A9)l6-(8A)16=()(2分) A.(19)16 B.(1F)l6 C.(25)16 D.(29)16 .标准答案:B 3. 十进制数15用2421 BCD码可以表达为()。(2分) A.00001111 B.01001000 C.00011011 D.00010101 .标准答案:C 4. 8421 BCD码01010001.0101相应的二进制数为 ( ) (2分) A.100100.01 B.110011.10 C.101110.01 D.110110.10 .标准答案:B 5. 二进制数-0110的反码是(最高位是符号位)()(2分) A.10110 B.11001 C.11010 D.00110 .标准答案:B 6. 假如状态A与B,C与D分别构成等效对,那么能构成状态等效类的是()(2分) A.AB B.ABC C.BCD D.ABCD .标准答案:A 7. 四个变量可以构成多少个最小项?()(2分) A.4个 B.8个 C.15个 D.16个 .标准答案:D 8. 逻辑函数Y=可化简为:( ) (2分) A. B. C.C+AB D.B+AC .标准答案:D 9. 逻辑函数F(A,B,C) = AB+BC+AC的标准表达式是( ) (2分) A.∑m(3,5,6,7) B.∑m(0,1,2,4) C.∏m(1,3,5,7) D.∑M(0,2,4,6) .标准答案:A 10. 函数,则其反函数( ) (2分)A. B. C. D. .标准答案:B 11. 逻辑函数等于()(2分) A.

相关主题
相关文档
最新文档