交通灯设计及仿真

目录

目录 (1)

1 选题背景 (2)

1.1 指导思想 (2)

1.2 方案论证 (2)

1.3 基本设计任务 (3)

1.4 发挥设计任务 (3)

1.5 电路特点 (3)

2 电路设计 (4)

2.1 总体方框图 (4)

2.2 工作原理 (4)

3 各主要电路及部件工作原理 (5)

3.1 555秒脉冲电路简要说明 (5)

3.2 控制电路简要说明 (6)

3.3 计时电路简要说明 (7)

3.4 显示电路简要说明 (8)

3.4.1 74LS138简要说明 (8)

3.4.2 显示电路设计说明 (9)

4 原理总图 (10)

5 元器件清单 (11)

6 调试过程及测试数据 (11)

6.1 通电前检查 (11)

6.2 通电检查 (11)

6.2.1 NE555单元电路的调试 (11)

6.2.2 计数电路模块的调试 (11)

6.2.3发光二极管的调试 (13)

6.3 结果分析 (14)

7 小结 (16)

8 设计体会及今后的改进意见 (16)

8.1 体会 (16)

8.2 本方案特点及存在的问题 (16)

8.3 改进意见 (16)

参考文献 (18)

正文

1 选题背景

随着经济的突飞猛进和人们生活水平的提高,现如今几乎家家户户都有了自己的小汽车。如何保证交通安全这一问题也变的尤其的突出,传统的人力指挥已经满足不了当今的现状。电子交通控制灯可以适应各种不同的要求,同时也节约大量的人力物力,使交通指挥有效而简便。

1.1 指导思想

交通灯控制显示电路主要可分成时序电路,计时电路,控制电路,显示电路四部分。时序电路产生秒脉冲,用555定时器来实现。计时电路分别给出45秒,5秒,25秒,5秒的信号,用74LS160实现计时功能。控制电路控制计时电路的四种不同情况。显示电路通过二极管显示灯显示主、支干道的情况。

1.2 方案论证

方案一:

利用555产生秒脉冲。由设计要求可知,计时电路需产生45s,5s,25s, 5s四种不同的计数方式,共80s。用一片74LS161产生5进制数,使第二片74LS161分成16个状态,每个状态5s。利用门电路将16个状态分成四种情况:

a)第1至第9个状态共45s

b)第10个状态5s

c)第11至第15个状态共25s

d)第16个状态5s

把上述四种情况分别送给JK触发器,使其产生00,01 ,10,11四个状态,将四个状态送74LS138译码后经显示电路显示。

a)主干道:绿灯(a)、黄灯(b)、红灯(c与d)

b)支干道:绿灯(c)、黄灯(d)、红灯(a与b)

特点:设计巧妙利用了161的16个状态,使设计要求的四个状态合理分配。

方案二:

利用555作为秒脉冲,用两片74LS160做并联清零分别做成45s、5s、25s、5s四种不同的计数方式,且每次的清零信号同时给另一片74LS160作为脉冲信号让其计数,使其产生00,01 ,10,11四个状态,然后通过74LS138形成四种不同的情况,分别为Y0(45s)、Y1(5s)、Y2(25s)、Y3(5s),经显示电路显示。

a)主干道:绿灯(Y0)、黄灯(Y1)、红灯(Y2与Y3)

b)支干道:绿灯(Y2)、黄灯(Y3)、红灯(Y0与Y1)

特点:思路明确简单易懂

综合对比方案一与方案二:方案一相对元器件数目种类较少,焊接方便,但运行不稳定;方案二运行稳定且调试方便。综合对比选择方案二作为设计方案。

1.3 基本设计任务

设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯。红灯亮禁止通行,绿灯亮允许通行,黄灯亮则停止行驶(给行驶中的车辆有时间停在禁行线以外)。具体要求如下:

a)用红、绿、黄发光二极管作信号指示灯。

b)主支干道交替允许通行。主干道每次放行45秒,支干道每次放行25秒。

c)在每次由绿灯亮转换到红灯亮的过程中,要亮5秒钟的黄灯作为过渡。

1.4 发挥设计任务

为了观察方便和精确计时,加一个数码显示电路,显示计数值。

1.5 电路特点

利用555作为秒脉冲,两片74LS160做并联清零分别做成45s、5s、25s、5s四种不

同的计数方式,且每次的清零信号同时给另一片74LS160作为脉冲信号让其计数,使其产生00,01 ,10,11四个状态,然后通过74LS138形成四种不同的情况,分别为Y0(45s)、Y1(5s)、Y2(25s)、Y3(5s),思路简单易懂,却运行稳定。

2 电路设计

2.1 总体方框图

图2 总体方框图

2.2 工作原理

a)时序电路:由555定时器构成,提供秒脉冲信号。

b)计时电路:由两片74LS160并联清零构成,依次从0计时到45,清零;从0计时

到5,清零;从0计时到25,清零;从0计时到5,清零,循环进行。

c)控制电路:利用74LS04、74LS10、74LS21、74LS20将每次的清零信号同时送给另

一片74LS160作为脉冲信号让其计数,使其产生00,01 ,10,11四个状态。

d)显示电路:利用74LS160产生00,01 ,10,11的四个状态,控制74LS138形成

四种不同的情况,分别为Y0(45s)、Y1(5s)、Y2(25s)、Y3(5s),经二极管显。

e)主干道:绿灯(Y0)、黄灯(Y1)、红灯(Y2与Y3);支干道:绿灯(Y2)、黄灯

(Y3)、红灯(Y0与Y1)

3 各主要电路及部件工作原理

3.1 555秒脉冲电路简要说明

3.1.1 NE555内部结构

图3-1-1 NE555内部结构

3.1.2 NE555数据计算

设占空比q=2/3 C=47μF C1=0.01μF T=1s,利用公式:

q=(R1+R2)/(R1+2R2) (3-1-2-1)

T=(R1+2R2)*C*ln2 (3-1-2-2) 解得:R1=R2=10K

图3-1-2-3 555单稳态触发电路说明:该555秒脉冲电路产生占空比为66.7%的方波。3.2 控制电路简要说明

图3-2 控制电路

说明:控制电路通过74HC04、74HC20、74HC10、74HC21门电路控制计数电路的清零信号,当计数电路从0开始计数到45时,控制电路产生低电平的清零信号同时使计数电路清零。然后当计数电路在从0计数到5时,控制电路产生低电平的清零信号同时使计数电路清零。再当计数电路从0计数到25时,控制电路产生低电平的清零信号同时使计数电路清零……如此循环产生低电平的清零信号。

3.3 计时电路简要说明

3.3.1 74LS160简要说明

图3-3-1 74LS160引脚图

3.3.2 计时电路设计说明

图3-3-2 计时电路

说明:计时电路由两片74LS160并联清零构成,首先从0计数到45,然后清零在从0计数到5,再清零从0计数到25,清零……如此循环计数。

3.4 显示电路简要说明

3.4.1 74LS138简要说明

3-4-1-1 74LS138引脚图

3-4-2-2 74LS138的功能表

3.4.2 显示电路设计说明

说明:显示电路通过74LS138、Y1、Y2、Y3的四个状态输出来控制主干道和支干道的六个二极管,主干道:绿灯(Y0)、黄灯(Y1)、红灯(Y2与Y3);支干道:绿灯(Y2)、黄灯(Y3)、红灯(Y0与Y1)。

由设计要求知:

a)当主干道为绿灯(计数电路从0计数到45,译码器的Y0有效)和黄灯(计数电路从0

计数到5,译码器的Y1有效)时,支干道要求一直为红灯,此时通过74LS32来

实现支干道在前50s时一直为红灯。

b)当支干道为绿灯(计数电路从0计数到25,译码器的Y2有效)和黄灯(计数电路从0

计数到5,译码器的Y3有效)时,主干道要求一直为红灯,此时通过74LS32来

实现主干道在后30s时一直为红灯。

74LS138

图3-4-2 显示电路

4 原理总图

图4 交通信号灯工作原理图

器件名称数量器件名称数量

NE555 1 74LS20 1

74LS160 3 74LS32 1

74HC138 1 74LS21 1

74HC04 1 开关 1

74HC10 1 电阻(300Ω) 6 电解电容(47uF) 1 瓷片电容(0.01uF) 1

5 元器件清单

表格5-1

6 调试过程及测试数据

为使电路便于调试采用分块调试的方法。

6.1 通电前检查

电路安装完毕后,经检查电路各部分接线正确,电源、元器件之间无短路,器件无接错现象。

6.2 通电检查

6.2.1 NE555单元电路的调试

断开其他单元,用示波器观察NE555电路out输出端图形如下:

图6-2-1 555输出波形图

观察示波器显示满足秒脉冲要求,并产生占空比为66.7%的方波,满足设计任务要求,说明该模块正确可行。

6.2.2 计数电路模块的调试

a)45秒测试

电阻(10kΩ) 2 电源(5V) 1

图6-2-2-1 主干道绿灯45s调试

b)5秒测试

图6-2-2-2 主干道黄灯5s调试

c)25

测试

图6-2-2-3 支干道绿灯25s调试

d)5秒测试

图6-2-2-4 支干道黄灯5s调试

经测试各时间段,满足设计任务要求,主干道每次放行45秒,支干道每次放行25秒,在每次由绿灯亮转换到红灯亮的过程中,要亮5秒钟的黄灯作为过渡。满足设计说明该模块正确可行。

6.2.3发光二极管的调试

表6-2-3-1 发光二极管显示时间表

支干道红灯(50s) 黄灯(5s) 绿灯(25s)

主干道绿灯(45s) 黄灯(5s) 红灯(30s)

图6-2-3-2 支路红灯、主路绿灯亮图6-2-3-3 支路红灯、主路黄灯亮

图6-2-3-4 主路红灯、支路绿灯亮图6-2-3-5 主路红灯、支路黄灯亮

各时间段亮灯情况如上图所示,观察亮灯情况及亮灯顺序,主干道由绿灯变为黄灯再变为红灯,支干道由红灯变为绿灯再变为黄灯。说明各个显示二极管正常显示,且显示顺序满足设计任务要求。

6.3 结果分析

把各模块按总体方框图组合并接通电源后,开关关闭,共阴数码显像管的显示顺序如下图所示

图6-3 数码显示及亮灯变换

开关闭合后:

a)数码显示为0,主干道绿灯亮,支干道红灯亮,45s后计数电路清零。

b)45s后计数电路清零的同时数码显示为0,主干道由绿灯变为黄灯,支干道依

然为红灯,持续5s。

c)持续5s后计数电路清零,数码显示为0,且主干道由黄灯变为红灯,支干道

由红灯变为绿灯,持续25s。

d)持续25s后计数电路清零,数码显示为0,同时主干道依然为红灯,支干道由

绿灯变为黄灯,持续5s。

e)持续5s后计数电路清零,数码显示为0,同时主干道由红灯变为绿灯,支干

道由黄灯变为灯黄灯。

电路如上述且周期为80s循环进行,满足设计任务要求。

主干道红灯亮

支干道绿灯亮

主干道红灯亮

支干道黄灯亮

支干道由绿变为黄灯

7 小结

通过实验调试和数据测试,各个部分均满足以下设计要求和数据要求:

a)用红、绿、黄发光二极管作信号指示灯。

b)主支干道交替允许通行。主干道每次放行45秒,支干道每次放行25秒。

c)在每次由绿灯亮转换到红灯亮的过程中,要亮5秒钟的黄灯作为过渡。

总体来说,交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯。红灯亮禁止通行,绿灯亮且允许通行,黄灯亮则停止行驶(给行驶中的车辆有时间停在禁行线以外)。

8设计体会及今后的改进意见

8.1 体会

a)通过设计电路,查阅资料的同时也可以学习更多以前不懂得知识,掌握各个元器

件的基本参数测量和引脚功能作用,同时可以通过思考用不同电路形式实现相同功能作用,更好的掌握各种芯片的功能作用和应用。

b)通过绘制电路原理图,可以学习并掌握Multisim软件的基本应用和操作。

c)通过焊接实物,可以掌握焊接的基本知识和注意事项。

d)通过调试和测量数据参数,可以更好的掌握各种测量仪器的应用和功能。

8.2 本方案特点及存在的问题

特点:思路清晰,按题目要求通过四种状态来组合三种亮灯情况。

存在问题:应用TTL门电路元器件过多,使焊接不方便。没有倒计时显示数码管。8.3 改进意见

可以通过减法芯片74LS192或74LS190实现倒计时,通过74LS48或CD4511连接数

码显示管显示倒计时。

参考文献

【1】阎石.数字电子技术基础.清华大学.高等教育.2009 【2】党宏社.电路、电子技术实验与电子实训.电子工业.2009

基于单片机的交通灯课程设计与仿真

课程设计: 交通信号灯 自动控制系 一、设计要求 本设计要求与交通信号实际控制一致,采用LED模拟信号灯,信号灯分东西、南北二组,分别有红、黄、绿三色。其工作状态由程序控制,启动、停止按

钮分别控制信号灯的启动与停止。白天/黑夜转换开关可对信号进行控制转换。并且要求能用两位数码管(或者一位数码管)来显示红灯或者绿灯等待的时间,在黄灯的时候数码管不显示。信号灯的控制要求如下: ⑴假设东西方向交通繁忙为主干道,车流量为南北交通的两倍。因此东西方向的绿灯通行时间为是南北方向上的两倍。 ⑵开始时东西方向绿灯先亮,南北为红灯。 ⑶按下启动按钮开始工作,,按下停止按钮,停止工作。白天/黑夜转换开关闭合时为黑夜工作状态,这时只有黄灯来回闪烁,断开为白天工作状态。白天工作状态要求:东西方向绿灯亮40s,然后黄灯闪三下(1下/秒,共5秒),然后红灯亮20s,而南北方向为红灯亮40s然后绿灯亮20s,然后黄灯也闪三下;如此周期循环下去。 二、示意图 图2 交通信号灯示意 三、系统总体方案及硬件设计 8051单片机包含中央处理器(CPU)、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等几大单元及数据总线、地址总线和控制总线等三大总线,现在我们分别加以说明: 1.中央处理器(CPU)

中央处理器(CPU)是整个单片机的核心部件,是8位数据宽度的处理器,能处理8位二进制数据或代码,CPU负责控制、指挥和调度整个单元系统协调的工作,完成运算和控制输入输出功能等操作。 2.数据存储器(RAM) 8051内部有128个8位用户数据存储单元和128个专用寄存器单元,它们是统一编址的,专用寄存器只能用于存放控制指令数据,用户只能访问,而不能用于存放用户数据,所以,用户能使用的RAM只有128个,可存放读写的数据,运算的中间结果或用户定义的字型表。 3.程序存储器(内部ROM): 程序存储器用于存放程序和固定不变的常数等。通常采用只读存储器,且其又多种类型,在89系列单片机中全部采用闪存。AT89S51内部配置了4KB闪存。 3.1.定时/计数器(ROM): 定时/计数器用于实现定时和计数功能。AT89S51共有2个16位定时/计数器。 并行输入输出(I/O)口: 8051共有4组8位I/O口(P0、 P1、P2或P3),用于对外部数据的传输。每个口都由1个锁存器和一个驱动器组成。它们主要用于实现与外部设备中数据的并行输入与输出,有些I/O口还有其他功能。 4.全双工串行口: A89S51内置一个全双工串行通信口,用于与其它设备间的串行数据传送,该串行口既可以用作异步通信收发器,也可以当同步移位器使用。 5.时钟电路: 时钟电路的作用是产生单片机工作所需要的时钟脉冲序列。 6.中断系统: 中断系统的作用主要是对外部或内部的终端请求进行管理与处理。AT89S51共有5个中断源,其中又2个外部中断源和3个内部中断源。 7.定时/计数器 8051有两个16位的可编程定时/计数器,以实现定时或计数产生中断用于控制程序转向。 四、工作原理 由软件设置交通灯的初始时间,南北方向通行30秒,东西方向通行20秒,数

交通灯控制器的设计与仿真报告

交通灯控制器的设计与仿真报告 一.设计要求 设计一个十字路口的交通灯控制器,用实验板上的LED灯指示车辆通过的方向(东西和南北方向各一组),用数码管指示该方向的剩余时间。 二.功能描述 设东西方向和南北方向的车流量大致相同,因此红,黄,绿灯的时长也相同,定为红灯45秒,黄灯5秒,绿灯40秒,同时数码管指示当前状态(红,黄,绿灯)剩余时间。另外设置一个紧急信号,紧急信号发生时,东西和南北方向都显示红灯,并停止计数(显示为“00”),紧急状态解除后,重新计数并指示时间。 三.功能实现 交通灯控制器是状态机的一个典型应用,除了计数器时状态机外,还有东西,南北方向的不同组合(红绿,红黄,绿红,黄红4个状态),如表1所示: 表1 我们可以简单的将其看成两个(东西,南北)减1计数的计数器,通过检测两个方向的计数值,可以检测红,黄,绿组合的跳变。这样是一个较复杂的状态机设计变成一个简单的计数器设计。 假设东西方向和南北方向的黄灯时间均为5秒,在设计交通灯控制器时,可再简单的计数器基础上增加一些状态检测,即通过检测两个方向上的计数值判断交通灯应处于4种可能状态中的那种状态。 表2列出了需检测的状态跳变点

表2 对于紧急情况,只需设计一个异步时序电路即可解决,当紧急信号发生时,东西,南北方向都为红灯,计数值都为零;紧急状态解除后,恢复到状态1:东西方向红灯45秒,南北方向绿灯40秒,重新计数并指示时间。 程序中还应该防止出现非法状态,即程序运行后应判断东西方向和南北方向的计数值是否超出范围,若超出范围,也恢复成状态1:东西方向红灯45秒,南北方向绿灯40秒,开始计数并指示时间。 四.程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity wq is port( urgency,clk,clk_disp:in std_logic; led_sel:out std_logic_vector(3 downto 0); light_on:buffer std_logic_vector(5 downto 0); light_off:out std_logic_vector(1 downto 0); time_disp:out std_logic_vector(7 downto 0) );

交通灯proteus仿真设计

交通灯proteus仿真设计 交通灯是城市交通管理中非常重要的一部分,它们用于控制车辆和行 人的流动,确保交通的安全和顺畅。在这篇文章中,我们将使用Proteus 软件来设计一个交通灯的仿真模型。 在Proteus中,我们可以使用ISIS和Ares两个模块进行电子电路的 设计和仿真。首先,我们需要在ISIS中创建一个新的电路图。我们可以 将交通灯的每个部分视为一个独立的电路,包括信号发生器、计时器、红 绿灯和行人信号等。 首先,我们需要一个信号发生器来模拟交通灯的计时控制。我们可以 使用Proteus中提供的脉冲发生器来生成一个方波信号作为计时器的输入。我们可以设置方波的频率和占空比来模拟不同的交通灯状态,比如红灯、 绿灯和黄灯。 接下来,我们需要一个计时器来控制交通灯的转换。我们可以使用Proteus中提供的计时器元件,比如555定时器。我们可以设置定时器的 参数,比如时钟频率和周期,来控制交通灯的转换时间。 然后,我们需要设计红绿灯的电路。对于红灯,我们可以使用一个LED来表示,可以选择红色的LED。对于绿灯,我们也可以使用一个LED 来表示,可以选择绿色的LED。我们可以使用Proteus中提供的LED元件,并将其连接到计时器的输出引脚上。 最后,我们还可以添加一个行人信号来模拟行人通过的情况。我们可 以使用一个LED来表示行人信号,可以选择白色的LED。我们可以将行人 信号的LED连接到计时器的输出引脚上,并设置适当的延迟来控制行人信 号的亮灭。

完成电路设计后,我们可以在ISIS中进行仿真。在仿真过程中,我们可以观察交通灯的状态和行人信号的变化。通过调整计时器的参数,我们可以模拟不同的交通灯时间间隔和行人信号的延迟时间。 除了电路设计和仿真,Proteus还可以进行PCB布局和打印板设计。我们可以使用Ares模块来创建一个真实的交通灯电路板,并将其制作成实际的交通灯。 总而言之,通过Proteus软件的使用,我们可以方便地设计和仿真交通灯的电路,并进行交通灯的时间间隔和行人信号的延迟的调整。这有助于提高交通灯的性能和安全性,并为城市交通管理提供更好的解决方案。

交通灯设计及仿真

目录 目录 (1) 1 选题背景 (2) 1.1 指导思想 (2) 1.2 方案论证 (2) 1.3 基本设计任务 (3) 1.4 发挥设计任务 (3) 1.5 电路特点 (3) 2 电路设计 (4) 2.1 总体方框图 (4) 2.2 工作原理 (4) 3 各主要电路及部件工作原理 (5) 3.1 555秒脉冲电路简要说明 (5) 3.2 控制电路简要说明 (6) 3.3 计时电路简要说明 (7) 3.4 显示电路简要说明 (8) 3.4.1 74LS138简要说明 (8) 3.4.2 显示电路设计说明 (9) 4 原理总图 (10) 5 元器件清单 (11) 6 调试过程及测试数据 (11) 6.1 通电前检查 (11) 6.2 通电检查 (11) 6.2.1 NE555单元电路的调试 (11) 6.2.2 计数电路模块的调试 (11) 6.2.3发光二极管的调试 (13) 6.3 结果分析 (14) 7 小结 (16) 8 设计体会及今后的改进意见 (16)

8.1 体会 (16) 8.2 本方案特点及存在的问题 (16) 8.3 改进意见 (16) 参考文献 (18) 正文 1 选题背景 随着经济的突飞猛进和人们生活水平的提高,现如今几乎家家户户都有了自己的小汽车。如何保证交通安全这一问题也变的尤其的突出,传统的人力指挥已经满足不了当今的现状。电子交通控制灯可以适应各种不同的要求,同时也节约大量的人力物力,使交通指挥有效而简便。 1.1 指导思想 交通灯控制显示电路主要可分成时序电路,计时电路,控制电路,显示电路四部分。时序电路产生秒脉冲,用555定时器来实现。计时电路分别给出45秒,5秒,25秒,5秒的信号,用74LS160实现计时功能。控制电路控制计时电路的四种不同情况。显示电路通过二极管显示灯显示主、支干道的情况。 1.2 方案论证 方案一: 利用555产生秒脉冲。由设计要求可知,计时电路需产生45s,5s,25s, 5s四种不同的计数方式,共80s。用一片74LS161产生5进制数,使第二片74LS161分成16个状态,每个状态5s。利用门电路将16个状态分成四种情况: a)第1至第9个状态共45s b)第10个状态5s c)第11至第15个状态共25s d)第16个状态5s 把上述四种情况分别送给JK触发器,使其产生00,01 ,10,11四个状态,将四个状态送74LS138译码后经显示电路显示。 a)主干道:绿灯(a)、黄灯(b)、红灯(c与d) b)支干道:绿灯(c)、黄灯(d)、红灯(a与b)

交通灯设计与仿真

广东岭南职业技术学院 课程设计 课题:基于ICETEK-VC5416A试验箱的交通灯设计与 仿真 指导教师: 广东岭南职业技术学院 Guangdong Lingnan Institute of T echnology 姓名: 专业: 学号:

摘要 数字信号处理(Digital Signal Processing,简称DSP)是一门涉及许多学科而又广泛应用于许多领域的新兴学科。DSP(digital signal processor)是一种独特的微处理器,是以数字信号来处理大量信息的器件。其工作原理是接收模拟信号,转换为0或1的数字信号,再对数字信号进行修改、删除、强化,并在其他系统芯片中把数字数据解译回模拟数据或实际环境格式。它不仅具有可编程性,而且其实时运行速度可达每秒数以千万条复杂指令程序,远远超过通用微处理器,是数字化电子世界中日益重要的电脑芯片。本次设计是基于DSP原理设计交通灯控制系统软硬件系统,利用发光二级管亮灭模拟交通信号,数码管显示倒计时时间,利用TMS320VC5402 DSP片上定时器定时产生时钟计数,设计模拟实际生活中十字路口交通灯。 ………………………………………………………………………………………… 一、课程设计内容及要求

1.课题设计内容 DSP课程设计是对《数字信号处理》、《DSP原理及应用》等课程的较全面练习和训练,是实践教学中的一个重要环节。 通过本次课程设计,综合运用数字信号处理、DSP技术课程以及其他有关先修课程的理论和生产实际知识去分析和解决具体问题,并使所学知识得到进一步巩固、深化和发展。初步培养学生对工程设计的独立工作能力,掌握电子系统设计的一般方法。通过课程设计,基本技能的训练,如查阅设计资料和手册、程序的设计、调试等,提高学生分析问题、解决问题的能力。 本题目为急救车与十字路口交通灯的设计,目的是熟练掌握DSP定时器的控制、中断系统的应用以及程序的编写调试。 2. 课程设计要求 1、南北、东西各三个灯(红、黄、绿); 2、南北、东西两向各有倒计时功能(各两位数码管显示); (1)南北绿灯、东西红灯,延时20秒 (2)南北绿灯闪3次、东西红灯(6秒) (3)南北黄灯、东西红灯(4秒) (4)南北红灯、东西绿灯(20秒) (5)南北红灯、东西绿灯闪3次(6秒) (6)南北红灯、东西黄灯延时3秒(4秒) (7)回到(1) 3、功能键:(1)启动开关(2)急救灯的启动开关 4、启动开关按下时,交通灯开始运行,再按一下表示停止。 5、急救灯的控制按钮按下时,四个路口全红灯状态。 6、按要求编写课程设计报告书,正确、完整的阐述设计和实验结果 二、课程设计总体方案及原理

交通灯控制电路设计及仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为20s。数码管的时间总是显示为19、18、17……2、1、0、19、18……。在显示时间小于3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz脉冲,即每1s 中递 减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz 的频率闪烁。系统 中用S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与LED 灯模块的电路原理一致,当有高电平输 入时LED 灯就会被点亮,反之不亮。只是LED 发出的光有颜色之分。其与FPGA 的 管脚连接如下表19-1 所示: 四、实验步骤 1、打开QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个VHDL File,打开VHDL 编辑器对话框。

交通灯(proteus仿真设计+程序)

52单片机简易交通灯proteus仿真设计原理 交通灯作为日常生活中必不可少的交通标志,它的设计是单 片机初学者必不可少要接受的一项课题,下面简单介绍用proteus 仿真一个由52单片机控制的简易交通灯。 本设计主要要求以下几个方面:一是根据系统控制要求设计 硬件电路,这里是用PROTEUS软件来完成;二是根据硬件电路编写 相应的程序流程图然后编写相关程序,这里程序的编制主要是用KeilC51软件来完成;三是在KEIL上用已经编好的程序生成.hex 文件载入到PROTEUS中,实现PROTEUS与KEIL的联调,完成调试 和仿真,观察调试结果是否满足设计要求,。 一:设计方案及重点: 首先南北方向红灯、东西方向绿灯亮,南北方向红灯35秒、东西方向绿灯35秒,相应的数码管显示对应的数字并读秒,同时南北方向红色的交通灯和东西方向的绿色交通灯接通点亮显示,当东西方向的绿灯时间到,则东西方向的绿灯转为黄灯,同时数码管显示黄灯的时间3秒,东西方向的黄色二极管接通点亮,此时南北方向的红灯不变。南北方向的红灯和东西方向的黄灯时间同时到,此时南北方向的红灯跳转为绿灯,时间同北方向的绿灯时间到,南北绿灯跳转为黄灯,东西方向的红灯不变,当南北方向的黄灯和东西方向的红灯时间到,南北方向的黄灯跳转为红灯,东西方向的红灯跳转为绿灯。

设计重点: 1.数码显示管的计时 2.数码管控制交通灯的转换 3.锁存器与位选器端口的选择 4.电路连接与程序编写 二:仿真器件的介绍: 1.单片机芯片:AT89C52, AT89C52是一个低电压,高性能CMOS 8位单片机, AT89C52单片机在电子行业中有着广泛的应用。 2.数码管:7SEG-MPX2-CC,这是一个两位数共阴极的数码管, 1就是左边数码管的阴极2就是右边数码管的阴极,a,b,c,d,e,f,g,就是数码管的段码,dp就是数码管的小数点 3.锁存器与位选器:74HC573,具体作用:74HC573锁存器在数码管显示时作用的确是为了节省IO口,单片机P0口先发送abcdefghp段选信号,这时使用一个74HC573将段选信号保存住,单片机P0口再发送位选信号,此时单片机P0口信号不影响被锁存住的段选信号。,使用另一个74HC573锁存住位选信号。按以上循环,显示8位数码管只需要10个IO口。 4.上拉电阻:RESPACK-8,作用,拉高端口电压,稳定端口电压值。 5.交通灯:TRAFFIC LIGHTS。

(完整版)交通灯控制系统设计与仿真毕业设计

交通灯控制系统设计与仿真 项目名称交通灯控制系统设计与仿真指导老师曾欣荣、宋小青

摘要 随着社会主义的建设,城市的规模在不断扩大,城市的交通也在不断的提高和改进,交通的顺畅已经成为制约社会主义建设的一个重要因素。目前,伴随着机动车辆的不断增加,尤其是十字路口的交通建设 颇为关键,严重的影响到城市交通安全。社会主义建设以来,有许许多多的设计工作者投身于十字路口交通建设的研究之中,创造和设计出了很多新型的方案,把我国交通建设推向了更高的发展阶段。当前,十字路口都采用了信号灯来控制车辆和人的通行,不仅便于维护管理,而且有较强的自动化。大量的信号灯电路正向着数字化、小功率、多样化、方便人、车、路三者关系的协调,多值化方向发展随着社会经济的发展,城市交通问题越来越引起人们的关注。它的出现使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用单片机AT89S52来设计交通灯控制器,实现了能根据实际车流量通过AT89S52芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示。本系统实用性强、操作简单、扩展功能强。 关键词:AT89S52单片机;交通灯;倒计时;时间显示

第一章绪论 1.1交通信号灯的发展及研究意义 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段,这一技术已经有相当长的发展历史了。 红绿灯的起源可追溯到19世纪初的英国。那时,在英国的约克城,着红装的女人表示“已婚”,而着绿装的女人则是“未婚”。当时,伦敦议会大厦前经常发生马车轧人的事故。受红绿装启发,英国机械师德?哈特于1868年设计了红、绿两色的煤气交通信号灯,由一名手持长杆的警察通过牵动皮带来转换灯的颜色。可惜的是,这盏灯的历史只有23天,中断的原因是煤气灯突然爆炸使一位警察殉职。图为一张1938年6月27日发布的照片显示,在英国伦敦,行人看着一群蜜蜂聚集在一个交通信号灯上。

基于单片机的交通灯系统设计仿真

基于单片机的交通灯系统设计仿真 交通信号灯是道路上的重要设施,可以有效地管理交通流量,减少交通事故的发生, 保障行车安全。在现代交通管理中,基于单片机的交通灯系统设计越来越受到关注和重视。本文将详细介绍基于单片机的交通灯系统设计仿真,包括系统组成、工作原理、设计流程 和仿真结果等方面的内容。 一、系统组成 基于单片机的交通灯系统主要由单片机、交通灯灯泡、传感器和 LED 显示屏等组成。单片机作为系统的核心控制器,负责监测交通流量并控制交通灯的亮灭。交通灯灯泡负责 在不同状态下发出不同颜色的光信号,指示交通参与者的行为。传感器用于检测交通流量 和车辆的行驶状态,以便系统可以根据实际情况动态调整交通灯的亮灭时间。LED 显示屏 可以实时显示当前交通状态,方便行人和车辆进行参考。 二、工作原理 基于单片机的交通灯系统通过单片机控制交通灯的亮灭,实现对交通流量的管理。系 统首先通过传感器检测交通流量和车辆状态,然后根据检测结果,单片机判断当前的交通 状态,选择合适的交通灯亮灭模式。 系统主要分为三种交通状态:红灯、绿灯、黄灯。在红灯状态下,车辆需要停车等待,直行车辆可以通行,转弯车辆需要让直行车辆先行。在绿灯状态下,直行车辆可以通行, 转弯车辆需要等待,行人可以横穿马路。在黄灯状态下,表示交通信号即将变化,驾驶员 需要减速慢行,做好准备。 系统工作原理就是根据检测到的交通流量和车辆状态,单片机控制交通灯的切换,以 达到交通安全和顺畅的目的。 三、设计流程 基于单片机的交通灯系统设计流程包括:传感器检测、单片机判断、交通灯控制两个 主要步骤。 1. 传感器检测:首先安装在交通路口附近的传感器开始进行交通流量和车辆状态的 检测。主要通过红外传感器和车辆识别传感器,实时检测交通流量和车辆状态。 2. 单片机判断:单片机通过接收到的传感器检测结果,判断当前的交通状态,选择 合适的交通灯亮灭模式。单片机在设计过程中需要考虑多种交通状态的切换逻辑,以及优 化算法以提高交通效率。

交通灯控制电路的设计及仿真课程设计报告

目录 一、课程设计时间 (1) 二、课程设计题目 (1) 三、设计任务、要求及器件 (1) 四、课程设计的电路及工作原理 (2) 五、设计中出现的问题 (8) 六、心得体会 (8) 一、课程设计时间:5月25 日、5月27日、6月1日、6月3日 二、课程设计题目:交通灯控制电路的设计与仿真 三、设计任务、要求及器件 1、设计任务与要求 1〕、能显示十字路口东西、南北两个方向的红、黄、绿的指示状态,用两组红、黄、绿三色灯来表示两个方向上的交通灯; 2〕、能实现正常的倒计时功能,用两组数码管作为东西和南北方向的到计时显示,并且对红、绿灯的时间可调,在每次由绿灯变成红灯或相反的过度转换过程中,要亮黄灯2秒作为过渡; 3〕、能实现由手动控制红、绿的状态,即到达能手动切换交通灯的特殊状态的功能;

2、用的器件及芯片,见表一 表一 数码管脉冲源 逻辑与门 逻辑或门 灯 逻辑或门 电平开关 开关 四、课程设计的电路及工作原理 交通灯控制电路主要由循环局部电路、减数器和显示〔数码管〕等局部组成。用到的信号是时钟信号,脉冲发生器是提供给该系统中减数器的标准时钟信号源,循环电路局部是系统的主要局部,由它控制定红、黄绿、灯的往复工作,减数器与数码管组成不同进制与时间显示。即74194输出用于点亮红、黄、绿灯和控制灯点亮同时进展的倒计数,倒计数及灯点亮时间的设置可由74190完成,手动脉冲和74190的进位输出脉冲又可用于去鼓励74194进

位输出,如此往复形成红绿灯的循环点亮控制。 1、往复循环电路及原理 在设计中用到起移位作用的芯片是双向移位存放器74LS190,用此芯片来控制灯的移动,可搭建出单循环和往复循环两种构造电路。 双向移位存放器74194 为 4 位双向移位存放器,其主要电特性的典型值如下: 当去除端〔CLEAR 〕为低电平时,输出端〔QA -QD 〕均为低电平。当工作方式控制端〔S0、S1〕均为高电平时,在时钟〔CLOCK 〕上升沿作用下,并行数据〔A -D 〕被送入相应的输出端QA -QD 。此时串行数据〔DSR 、DSL 〕被制止。当S0 为高电平、S1 为低电平时,在CLOCK 上升沿作用下进展右移操作,数据由DSR 送入。当S0 为低电平、S1 为高电平时,在CLOCK 上升沿作用下进展操作,数据由DSR 送入。当 S0 和 S1 均为低电平时,CLOCK 被制止。对于 54〔74〕194,只有当 CLOCK 为高电平时 S0 和 S1 才可改变。 引出端符号: CLOCK 时钟输入端CLEAR 去除端〔低电平有效〕 A -D 并行数据输入端DSL 左移串行数据输入端 DSR 右移串行数据输入端S0、S1 工作方式控制端 QA -QD 输出端 在设计中用的是往复循环连接方式: 往复循环计数电路的设计采用的是同步方式,使用D 触发器控制74LS194的左右移位, 设计电路图如下,图一所示: 图一、红绿灯的交替电路〔往复循环〕 为到达往复循环计数电路的设计,需满足以下表格的要求,见表二: 表二 D 触发器 Q →1S Q →0S ,这样做到触发器"0〞态194右移。 74194与D 触发器的D R 端连接置零信号,作启动作用。L S 做接地处理,以便在左行移位时给低位补零。 考虑无关项的情况下,利用卡诺图化简可得如下结果:

基于multisim的交通信号灯的设计与仿真

湖北民族学院信息工程学院课程设计报告书 题目: 基于multisim的交通信号灯的设计与仿真 课程:电子线路课程设计 专业:电气工程及其自动化 班级:0312406 学号:031240610 学生姓名:崔亮 指导教师:杨庆

2015年1月8日

2015 年 1 月8 日

摘要 由于电子技术的飞速发展,集成电路和电子系统的复杂程度大概是6年提高10倍,因此电子系统的复杂程度也在相应提高。简单的手工设计方法已无法满足现代电子系统设计的要求。因此许多软件公司纷纷研制采用自上而下设计方法的计算机辅助设计系统。在20世纪70年代中叶有了基于手工布局布线的第一代ECAD工具(计算机辅助设计),1981—1982年出现了基于原理设计仿真的第二代EDA系统(电子自动化)。EDA是在计算机辅助设计(CAD)技术的基础发展起来的计算机设计软件系统。与早期的CAD软件比较,EDA软件的自动化程度更高、功能更完善、运行速度更快,而且操作界面友善,有良好的数据开放性和互换性。电子设计自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动设计。到1987—1988年又推出了基于RTL(寄存器传输语言)的设计、仿真、逻辑综合的第三代EDA技术。时至今日,又是十多年过去了,电子系统的复杂程度又提高了10多倍。 从事电子产品设计、开发等工作的人员,经常要求对所有设计的电路进行实物模拟和调试。其目的的一方面是为了验证所设计的电路是否能达到设计要求的技术指标,另一方面通过改变电路中元器件的参数,使整个电路性能达到最佳值。 加拿大Interactive Image Tecnologie 公司推出的EWB (Electrical Workbench)软件可以将不同类型的电路组成混合电路进行仿真,界面直观,操作方便等特点,创建电路、选用原件和测试仪器均可以图形方式直观完成。 该软件有较为详细的电路分析手段,如电路的瞬态分析和稳态分析、时域和频域分析、器件的线性和非线性分析、电路的噪声分析和失真分析,以及离散傅里叶分析、电路零极点分析、交直流灵敏分析和电路容差分析等共计十四种电路分析方法。拥有了强大的MCU模块,支持4种类型的单片机芯片,支持对外部RAM、外部ROM、键盘和LCD等外围设备的仿真,分别对4 种类型芯片提供汇编和编译支持;所建项目支持C代码、汇编代码以及16进制代码,并兼容第三方工具源代码;包含设置断点、单步运行、查看和编辑内部RAM、特殊功能寄存器等高级调试功能。强大的数字仪器环境和数字分析环境,使其成为为数不多的经典单片机仿真软件之一。 关键词:计算机辅助设计系统 ECAD工具实物模拟工具源代码

基于单片机的交通灯课程设计报告(含源程序+仿真)大学毕设论文

摘要 本设计是一个以微电子技术,计算机和通信技术为先导的,而计算机技术怎样与实际应用更有效的结合并有效的发挥其作用是科学界最热门的话题,也是当今计算机应用中空前活跃的领域。十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。本系统由单片机系统、按键、四位数码管显示、交通灯演示系统组成。设计一个用于东西、南北走向的交通管理。南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行间为20秒。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。本系统结构简单,操作方便;可实现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。本文主要从单片机的应用上来实现十字路口交通灯智能化的管理,用以控制过往车辆的正常运作。 关键词:交通灯;单片机;AT89S52

目录 1 概述 (3) 1.1设计背景 (3) 1.2设计任务 (3) 2 系统总体方案及硬件设计 (4) 2.1 AT89S52单片机简介 (4) 2.2 系统硬件电路的设计 (4) 3 软件设计 (7) 3.1 交通灯的设计程序流程图 (7) 3.2定时器0及中断响应 (8) 4 Proteus软件仿真 (10) 4.1仿真电路图 (10) 4.2 仿真步骤 (10) 5 课程设计体会 (11) 参考文献 (11) 附1: 源程序代码 (12) 附2: 系统原理图 (18)

微机原理课程设计实现交通灯的仿真

微机原理课程设计实现交通灯的仿 真 随着现代社会经济的不断发展,城市交通量不断增加,导致交通拥堵、事故频发等问题。在这种情况下,交通灯作为重要的交通工具,发挥着非常重要的作用,协调道路交通流,提高道路使用效率,保障交通安全。因此,在现代交通领域中,交通灯控制技术的研究具有重要的意义。 微机原理是计算机科学中非常重要的一门课程,涉及计算机组成原理、汇编语言等方面的内容。交通灯的仿真设计实现正是微机原理课程的一个重要应用。 本文将从以下几个方面介绍微机原理课程设计实现交通灯仿真的相关内容: 一、课程设计概述 微机原理课程设计是本专业的必修课程之一,通过本课程的设计,学生可以了解计算机系统的基本构成与原理,分析并解决问题的能力,以及编写软件程序的能力。交通灯仿真是微机原理课程设计的一个重要部分。 交通灯仿真设计包含了控制系统、仿真系统两个部分。控制系统涉及传感器、控制器等硬件设备的选择与构建,仿真系统涉及编写软件程序进行实现。 二、仿真设计实现流程

①需求分析 在设计交通灯灯组控制需要时,需要进行需求分析。复杂性、容错性、传输性、实时、可维护性等都是需要考虑的,需求分析包括需求捕获、需求规划、需求分析、需求表述、需求验证等方面。 ②系统设计 根据需求分析的结果,开始进行系统设计。包括系统结构设计、功能设计、界面设计等方面。 ③控制器设计 交通灯系统需要对灯组进行控制,因此需要设计并选择控制器。控制器的选择十分重要,不能出现任何的失误。选择合适的控制器可以保证控制系统的可靠性和稳定性。 ④程序设计 程序设计是实现仿真系统的核心部分,比较重要的是使用的控制算法。控制算法的设计需要考虑多种情况,比如交通高峰期、连续红绿灯时间、转弯车道优先等情况。 ⑤程序测试 在程序开发完毕之后,进行程序测试,测试程序的各项指标。 ⑥无错测验

交通灯的实现与仿真验证

H a r b i n I n s t i t u t e o f T e c h n o l o g y 交通灯课程设计 课程名称:集成电路课程设计 设计题目:交通灯的实现与仿真验证 院系: 班级: 设计者: 学号: 指导教师: 设计时间:2015年6月13日-2015年6月21日 哈尔滨工业大学

一、功能描述 使用verilogHDL语言设计一个交通灯,能够完成实际生活中十字路口的交通灯的工作。 我设计的交通灯一共有四种状态:绿灯,黄灯,左转弯,红灯。 在设计中,两条路命名为line1和line2,(同在一条路上的两个路灯的颜色是相同的)。 状态转化如图:(g-绿色,t-左转,y-黄色,r-红色,字母后面的数字表示相应的路1或2) 每个路口的路灯的颜色转换顺序为绿灯------左转-----黄灯-----红灯,下面是每个路口的灯的颜色的持续时间,line1的车流量比较多,所以绿灯的持续时间比较长。 可以看出状态机一共有六种状态,六种状态循环出现,并且只受时间控制,将上面的时间相加得到一个循环的时间是105。则六种状态循环的时间是105。 二、设计方案 使用三段式状态机,状态更新部分,新的状态产生的逻辑,输出产生逻辑。 状态更新部分:当reset=0时,状态复位,回到g1r2的状态,而reset为0时,状态更新。 新的状态产生的逻辑:根据计数器的值来进行状态的转换,只有计数器的输出值作为状态变换的条件。输出产生逻辑:切换到每个状态时路灯的颜色都有相应的变化。 通过一个计数器来完成计数,从而控制状态机状态变换。有一个en的变量来控制计数器是否计数,并通过if语句来实现计数器的循环计数。 三、设计代码 module trafficlight1(clk,reset,count,line1,line2); input clk,reset; input[6:0] count; output[1:0] line1,line2; reg[1:0] line1,line2; reg[2:0] state,next_state; //line1状态line2状态 parameter g1r2=3'd1, 绿灯红灯 t1r2=3'd2, 左转红灯 y1r2=3'd3, 黄灯红灯 r1g2=3'd4, 红灯绿灯 r1t2=3'd5, 红灯左转 r1y2=3'd6; 红灯黄灯

(完整word版)基于某某Verilog交通灯仿真系统设计与仿真

基于Verilog交通灯仿真系统 设计与仿真 姓名:

班级:1501011 学号: 基于Verilog交通灯的设计与实现 一、选题意义 1。1背景介绍 交通信号灯是现代交通系统中必不可少的元素,用Verilog语言对交通信号灯进行模拟,设计一个交通灯信号控制电路。具体要求为:输入为50MHz的时钟和复位信号,输出为红、绿、黄三个信号(高电平为亮)。复位信号(高电平)有效,红、绿、黄灯灭;接着进行如下循环:绿灯亮1分钟,黄灯闪烁10秒,红灯亮1分钟。在此基础上再加两个数码管,对倒计时的数显示. 1。2研究价值 通过交通灯的设计与仿真综合,体会复杂时序的实现方法,学会用框图表示程序的设计思想,掌握中小规模集成电路的系统综合设计方法.

二、系统设计 设计思路概述: 考虑一个红绿黄交通灯及倒计时显示器设计 首先复位电平置1,所有的交通灯电平为低,即灭。首先绿灯亮60s,然后黄灯闪烁10s;红灯再接着亮60s;而后绿灯亮60s,黄灯闪烁10s,红灯亮60s……以此循环,直至复位电平置1,所有交通灯灭。 三、模块设计与仿真 顶层模块(module lights): 模块例化,对控制模块和译码模块进行例化 控制模块: 交通灯颜色和倒计时 ○1时钟分频(frequencies):将50MHZ的频率分成我们所需要的1HZ; 错误!颜色变化:状态循环及倒计时,状态用light_status,如果状态light_status为0,绿灯亮,时间(60s)给统计灯亮时间的变量light_long,并且把显示灯亮的变量light_select赋值

100(高电平表示亮),最后把状态标志位light_status赋1,控制倒计时。 交通灯亮灭情况: 错误!倒计时:灯亮或闪烁时间(绿、黄、红分别为60s、10s、60s)用BCD码表示(分别为60h、10h、60h),倒计时的时候个位和十位分别是高四位和低四位,首先是低四位倒数,当倒数到0时,重新赋值为9,且高四位减1,如此循环,直到这个数减到0,灯亮的时间到,接着进行下一个状态,在时间减到0的时候,给使能端enable 赋值0;高四位和低四位分别显示十位和个位。 译码模块: 黄灯闪烁及倒计时译码输出。 错误!黄灯闪烁:黄灯在1s内亮0.5s灭0.5s,中间位当分频时钟y_flicker为高且输入light_select为高时,输出light_out 才为高(黄灯亮),别的情况light_out中间位都为低(黄灯灭)。 错误!七段译码:3—8译码器,把倒计时译码输出显示

相关文档
最新文档