PLC三层电梯控制设计

PLC三层电梯控制设计
PLC三层电梯控制设计

1. 三层电梯PLC控制系统设计

1.1实训目的

本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。

整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。

1.2 实训内容和控制要求

工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵内容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。

三层楼电梯的自动控制要求如下:

(1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止;

(2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止;

(3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开

关LS2停止;

(4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止;

(5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止;

(6)当电梯停于3F,而1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止;

(7)在电梯上升途中,任何反方向的下降按钮呼叫均无效;

(8)在电梯下降途中,任何反方向的上升按钮呼叫均无效;

(9)每层楼之间的到达时间应在10s内完成,否则电梯停机;

(10)电梯的起始位置和程序的启动、停止运行自行设计。

1.3电梯的结构

1-减速箱2-曳引轮

3-曳引机底座4-导向轮

5-限速器6-机座

7-导轨支架8-曳引钢绳

9-开关碰铁10-紧急开关

11-导靴12-轿架

13-轿门14-安全钳

15-导轨16-绳头组合

17-对重18-补偿链

19-补偿链导轮20-张紧置

21-缓冲器22-底坑

23-层门24-呼梯盒

25-层楼指示灯26-随行缆

27-轿壁28-轿内操纵箱

29-开门机30-井道传器

31-电源开关32-控制柜

图1.3电梯的结构

1.4 I/O分配表

完成所设定的控制任务所需要的PLC规模主要取决于控制系统对输入,输出点的需求量和控制过程的难易程度。根据控制要求,对PLC进行I/O配置,具体分配如下:

1数字量输入部分

在电梯控制系统中,要求的输入有运行/维修、上行下行、开关门、楼层选择按钮,以及压力传感器和限位开关输入等一共19个输入点。输入分配表如表:

表1.4.1数字输入量地址分配

2.模拟量输入部分

在控制系统中,由于需要测量电梯厢内的重量是否超过限定范围,因此增加了模拟量输出/输出模块采集重量。具体分配如表:

输入地址输入设备

AIWO压力传感器

3.数字量输出配置

在这个控制系统中,主要输出控制的设备有各种继电器、电动机和一些指示灯等,共有13个输出点,其具体分配表如下:

1.5电梯PLC控制系统设计

1.5.1梯形图

(1)电梯运行状态选择程序

按钮的默认状态为I0.0断开,在断开时中间继电器M0.0闭合,不论电梯处于什么位置,电梯直接下行到底层,如果电梯就在底层,则厢门上的限位器传送信号到PLC中,表示电梯已到达目标位置,延迟一段时间后,厢门开启进行维修维护工作。

语句表:

LD I0.0 A M0.6

= M0.1 R M0.3,1

LDN I0.0 = M1.1

= M0.0 TON T38,10

LD M0.0 LD M0.1

= M0.3 A T38

LDB= VB0,1 = M1.2

梯形图程序如下:

图1.5.1 维修状态时的梯形图程序

(2)换速程序

为了保证电梯运行的快速稳定,而且能提供给乘客一个舒适的乘坐的环境,

该控制系统采用双速运行的方式。在电梯启动阶段,既能较快地到达目标楼层,也不会产生较强的不舒适感。电梯的切换程序如图所示

双速电梯从选向、启动、运行、换速、停车过程

选向:通过比较电梯所在的位置和要到达的位置作比较,大于本电梯所在层,向上行,小于本电梯所在层向下行,起动条件:关门到位,门锁闭合,方向接触器吸合,快车接触器(KKC)吸合,抱闸开闸,通过串接电阻将压启动,经过2秒延时(KA1)吸合后全压运行,电机速度960RP/MIN,当到达所选楼层换速区时发出减速信号接触器(KKC)(KA1)释放,慢车接触器KMC吸合通过串接慢车减速电阻缓冲,经过1秒延时一级减速接触器KA2吸合短接部分缓冲电阻,再经过1秒钟延时二级减速KA3吸合全部短接缓冲电阻此时电动机转速250RP/MIN,爬行到开门区,接触器KMC,KA2,KA3,释放,抱闸失电合闸,开门接触器吸合开门.这就是整个双速电梯的运行过程.

语句表:

LD M0.1

A M0.2 ;上行运行时

A M1.4

LD I0.7

O I1.0 ;楼层2和楼层3的下层限位器

ALD

= M1.0 ;减速成慢速运行

LD M0.1

A M0.3 ;下行运行时

A M1.4

LD I0.5 ;楼层1和楼层2的上层限位器O I0.6

ALD

= M1.0 ;减速成慢速运行

LD M0.1

A M0.2 ;上行限位器

A M1.4

LD I0.5 ;楼层1和楼层2的上层限位器O I0.6

ALD

= M0.7 ;加速成快速运行

LD M0.1

A M0.3 ;下行运行时

A M1.4

LD I0.7

O I1.0 ;楼层2和楼层3的下层限位器ALD

= M0.7 ;加速成快速运行

梯形图程序如下:

图1.5.2 电梯换速梯形图程序

(3)门定位程序

在门定位程序中,不仅要利用三个限位器对电梯门进行定位,而且需要对所在楼层的寄存器进行复位处理。电梯的门定位程序如图所示。

2、语句表

LD I1.1

A I1.2

A I1.3

= M0.6 ;三个限位器全部对准后,门定位完毕

= M1.1 ;抱闸停车

LD M0.1

LPS

A M0.2 ;电梯上运行时

LPS

LD I0.5 ;楼层1的上层限位器

O M2.0

ALD

MOVB 0,VB1

MOVB 0,VB4 ;电梯上行时通过楼层1后,复位楼层1所有的与

上行有关的寄存器

LRD

LD I0.6 ;楼层2的上层限位器

O M2.1

ALD

MOVB 0,VB2

MOVB 0,VB5 ;电梯上行时通过楼层2后,复位楼层2所有的与

;上行有关的寄存器

LPP

AB= VB0,3 ;电梯到顶层

= M2.1

A M0.6

MOVB 0,VB3 ;将楼层所有的上行存储器复位

LPP

A M,0.3 ;电梯下行运行时

LPS

LD I1.0 ;楼层3的下层限位器

O M2.2

ALD

MOVB 0,VB3

MOVB 0,VB7 ;电梯下行时通过楼层3后,复位楼3所有的与

下行有关的寄存器

LRD

LD I0.7

O M2.3

ALD

MOVB 0,VB2

MOVB 0,VB6 ;电梯下行时通过楼层2后,复位楼2所有的与

下行有关的寄存器

LPP

AB= VB0,1 ;电梯到达顶层

= M2.3

A M0.6

MOVB 0,VB1 ;将楼层所有的下行存储器复位梯形图程序如下:

图1.5.3 电梯门定位梯形图程序

基于plc的三层电梯控制系统设计

摘要 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用PLC组成的控制系统可以很好地解决上述问题。本论文通过讨论电梯控制系统的组成,阐述可编程控制器(用三菱PLC编程的程序控制方式,提出了三层电梯的程、组成,列出了具体的主要硬件电路、电梯的控制梯形图及指令表。并给出了系统组成框图和程序流程图,在分析、处理随机信号逻辑关系的基础上,提出了计了一套完整的电梯控制系统方案。触点多,故障率高、可靠性差、安装调试周期长、维修工作量大、接线复杂等缺点。使电梯运行更加安全、方便、舒适。在PLC课程设计中,我组设计了一个三层电梯控制系统,并且将西门子公司S7-200系列可编程控制器与其结合并应用起来,在学完《电气控制与PLC应用》课程后,我们在设计过程中较为得心应手,不至于从头开始。整个过程包括了方案讨论,程序设计,程序修改,上机调试等,在程序设计方面花了比较多的时间,主要考虑到电梯分别停在一层、二层和三层时在其他楼层呼叫等各种情况。每当遇到困难时,我组都积极与老师联系讨论,深入分析研究问题,在整个过程中,我与我的组员都相互配合,相互学习。 关键字:PLC;电梯;升降;梯形图;系统组成框图

In this paper The elevator is an indispensable means of transport for the high-rise building, used for vertical transporting passengers and cargo, the traditional elevator control system mainly adopts relay - contactor to control, its shortcomings is the number of contact, such as high failure rate and poor reliability, maintenance workload is big, and composed of PLC control system is a good way to solve the above problems. Through discussing the composition of the elevator control system, this paper expounds the programmable controller (with mitsubishi PLC programming way of process control, puts forward the three layers of elevator ride, and lists the specific of the main hardware circuit, elevator control ladder diagram and instruction list. And the system composition block diagram and program flow chart is given, based on the analysis, processing, on the basis of random signal logic relation, put forward the plan for a complete set of the elevator control system scheme. Contact, high failure rate, poor reliability, installation and debugging cycle is long, maintenance workload, such as complex wiring faults. Make the elevator running more safe, convenient and comfortable. In the PLC course design, I have come up with a three layers of elevator group control system, and the Siemens S7-200 series programmable controller and its application and combining, after completing the curriculum, electrical control and PLC application we in the design process is relatively with ease, not from the beginning. The whole process including the solution discussion, program design, program changes, computer debugging, etc., spent more time on program design, main consideration to the elevator stop on the first floor, respectively the second and third floors in other situations such as floor call. Whenever encounter difficulties, I actively

plc三层电梯控制设计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关

LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向的下降按钮呼叫均无效; (8)在电梯下降途中,任何反方向的上升按钮呼叫均无效; (9)每层楼之间的到达时间应在10s完成,否则电梯停机; (10)电梯的起始位置和程序的启动、停止运行自行设计。 1.3电梯的结构 1-减速箱2-曳引轮 3-曳引机底座4-导向轮 5-限速器6-机座 7-导轨支架8-曳引钢绳 9-开关碰铁10-紧急开关 11-导靴12-轿架 13-轿门14-安全钳 15-导轨16-绳头组合 17-对重18-补偿链

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

三层电梯控制器实验报告

大连理工大学本科实验报告题目:三层电梯控制器 课程名称:数字电路与系统设计 学院(系):电子信息与电气工程学部 专业: 班级: 学生姓名: 学号: 完成日期:2012-7-5 成绩: 2012 年7 月05 日

题目:三层电梯控制器 1 设计要求 随着科技的发展,电梯的使用越来越普遍,在商业大厦、宾馆酒店、办公场所、居民住宅中广泛使用。并且随着生活水平的提高,人们对电梯功能的要求也不断提高,相应地其控制方式也在不停地方生变化。对于电梯的控制,传统的方法是使用继电器——接触器控制系统进行控制技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。 电梯的微机化控制主要由以下几种形式:1.PLC控制;2.单板机控制;3.单片机控制;4.单微机控制;5.多微机控制;6.人工智能控制。目前FPGA已广泛应用与电子设计与控制的各个方面。本设计就是使用一片FPGA来实现对三层电梯的控制。 电梯控制器是控制电梯按顾客的要求自动上下的装置。三层电梯控制器的功能如下: (1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 (2)设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 (3)电梯每秒升(降)一层楼。 (4)电梯到达有停站请求的楼层后,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (5)能记忆电梯内外的所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如更高层有下楼请求,则直接升到有下楼请求的最高层接客,然后便进入下降模式。当电梯处于下降模式时与上升模式相反。 (7)电梯初始状态为一层开门。 (8)当收到报警信号时,电梯停止工作,电梯维持当前状态不动。报警信号解除时,电梯继续工作。 (9)电梯到达指定楼层时有声音提示。 2 设计分析及系统方案设计 电梯控制器的设计方法有很多,本文采用状态机来描述,其优点是思路清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个以秒为周期的时钟来触发状态机。根

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

三层电梯控制系统的模拟

《PLC课程设计》报告三层电梯控制系统的模拟 系别电气工程系 班级本自动化 学号 学生姓名 指导老师 组员 2012年2月28日 [内容摘要]

本次PLC课程设计利用西门子S7-200系列PLC进行编程试验,我们细致分析之后,进行程序编写、控制系统框图、流程图的设计、I/O分配、梯形图的绘制、程序的调试与分析。经过努力,我们设计的程序已符合设计要求:当电梯在某一层停靠后,如果没有别的层站呼叫,电梯就始终停靠在这里。如已有登记过的呼叫信号,那在本站停靠后要等3秒才能继续启动。如已过3秒后才有呼叫信号,那什么时候来信号,立即响应运行电梯。如此时有本层呼梯信号,那其他层站信号要等待3后才能响应。 目录

第一章三层电梯控制系统模拟的硬件设计 (1) 1.1三层电梯控制系统模拟设计与调试。 (2) 1.2三层电梯控制系统模拟电路 (2) 1.3列出三层电梯控制系统模拟的输入/输出接口分配表 (3) 第二章三层电梯控制系统模拟的软件设计 (3) 2.1工作过程 (3) 2.2程序流程图 (4) 2.3梯形图 (5) 2.4三层电梯控制系统模拟梯形图的对应指令表 (9) 第三章设计总结 (11) 参考文献 (13) 第一章三层电梯控制系统模拟的硬件设计

三层电梯控制系统模拟 控制装置图 水塔 1.1要求独立完成水塔水位控制PLC系统设计与调试。 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。 1.2三层电梯控制系统模拟电路 1

三层电梯的PLC控制

三层电梯的PLC控制 1 问题描述 在现代社会中,电梯的使用非常普遍。随着PLC控制技术的普及,大大提高了控制系统的可靠性,减少了控制装置的体积。 2 控制任务和功能要求 (1) 当轿厢停在一楼或二楼,如果三楼有呼叫,则轿厢上升到三楼。 (2) 当轿厢停在二楼或三楼,如果一楼有呼叫,则轿厢下降到一楼。 (3) 当轿厢停在一楼,二楼、三楼均有人呼叫,则先到二楼,停8s后继续上升,每层均停8s,直到三楼。 (4) 当轿厢停在三楼,一楼、二楼均有人呼叫,则先到二楼,停8s后继续下降,每层均停8s,直到一楼。 (5) 在轿厢运行途中,如果有多个呼叫,则优先相应与当前运行方向相同的就近楼层,对反方向的呼叫进行记忆,待轿厢返回时就近停车。 (6) 在各个楼层之间的运行时间应少于10s,否则认为发生故障,应发出报警信号。 (7) 电梯的运行方向指示。 (8) 用数码管显示轿厢所在的楼层。 (9) 在轿厢运行期间不能开门。 (10) 轿厢不关门不允许运行。 3 系统硬件配置 根据设计要求,在该三层电梯控制系统中,输入设备均为开关量,故而输入模块选择为直流数字量输入模块;输出模块选为直流流数字量输出模块。因此选用DI32*DC24V,DO32*DC24/0.5A,电源和CPU模块选用实验室用的,即选用PS 307 10A、CPU314(1)。所选硬件如表1所示。 表1 系统硬件配置表

4 系统I/O端口分配 系统I/O端口分配表如表2所示。 表2 I/O端口地址分配表

5 主电路图 主电路图如图1所示。 图1 主电路图6 系统流程图 系统流程图如图2所示。

图2 系统流程图7 PLC外部接线图 PLC外部接线图如图3所示。

三层电梯PLC控制系统设计报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

第一章三层楼电梯自动控制 (4) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (5) 4.输入/输出端子接线图 (6) 5.运动形式分析 (6) 6.助记符 (11) 三.硬件配置设计 (15) 1. 电梯控制构成 (16) 2. 主电路 (16) 四.型号规格 (16)

三层电梯控制的PLC实现(sfc)

三层电梯PLC控制设计的实现 自动化081班邹文轩0805404102 1.设计要求:当乘员进入电梯,按下楼层按纽,电梯门自动关闭后,根据轿箱所处位置及乘员所处层数,判定轿箱运行方向,保证轿箱平层时有一个减速过程。将轿箱停在选定的楼层上,同时,根据楼层的呼叫,顺路停车,自动开关门。另外在轿箱内外均要有信号灯显示电梯运行方向及楼层数。 下图表示PLC控制电梯的工作流程示意图。 2.分析设计要求 2.1输入设备数量的确定: 需要有三个开关来控制内选按钮,分别设为X1、X2和X3;每个楼层都要有呼叫按钮,因为是三层所以需要四个,分别设为X4、X5、X6和X7;每一层都需要一个行程开关,一共三个,分别设为X10、X11和X12;因为需要制动,所以每层至少需要一个接近开关,分别为X20、X21和X22。此外电梯还需要一个总开X13和一个总关X14。故总共有15个输入。 2.2输出设备的数量确定: 用七段灯管来显示1、2、3的数字,以此来指示电梯所在楼层,所以用Y10、Y11、Y12、Y13、Y14、Y15和Y16来表示七段数码管的七段;电梯开门关门分别为Y6和Y7;电梯上升和下降分别为Y4和Y5;电梯制动显示为Y0。故总共有12个输出。 2.3选择PLC: 考虑到I/O要各留30%余量,应选择开关量输入24点,开关量输出24点的PLC。考虑到以后应用到楼层更高、控制更复杂的电梯系统中,所选择的PLC 应该留有30%的输入输出余量,并且要易于扩展。因此选择FX2N-48MR-D,共有24个输入和24个输出,满足要求,并且和此型号PLC配套的有输入输出扩展模块、特殊功能模块/单元、混合温度传感器输入、和通信/网络等单元,易于

三层电梯控制系统的设计

三层电梯控制系统的 实验设计 指导老师:朱静 学生:马小娟 班级:电科092 学号:095203403

三层电梯控制系统的设计 第一节设计要求 要求用FPGA设计实现一个3层电梯的控制系统。系统的要求如下: (1)电梯运行规则:当电梯处在上升模式时,只响应比电梯所在位置高的上楼请求,由下向上逐个执行,直到最后一个上楼请求执行完毕。如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。电梯处在下降模式时,工作方式与上升模式相反。设电梯共有3层,每秒上升或下降一层。 (2)电梯初始状态为一层,处在开门状态,开门指示灯亮。 (3)每层电梯入口处均设有上下请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。 (4)设置电梯所处位置的指示及电梯上升或下降的指示。 (5)电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。开门4妙后,电梯门关闭,开门指示灯灭,电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (6)电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后清除。 第二节三层电梯控制系统的功能模块及流程图 电梯控制器的功能模块如图2.1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图2.1 电梯控制器原理图

三层电梯的plc控制.

辽宁工业大学PLC技术及应用课程设计(论文) 题目:三层电梯的PLC控制 院(系): 专业班级: 学号: 学生姓名: 指导教师: 起止时间:

课程设计(论文)任务及评语 院(系):电气工程学院 教研室: 注:成绩:平时20% 论文质量60% 答辩20% 以百分制计算 学 号 学生姓名 专业班级 课程设计(论文)题目 三层电梯的PLC 控制 课程设计(论文)任务 课题完成的功能、设计任务及要求、技术参数 实现功能 1、电梯上行要求:当电梯停于1F ,2F 、3F 呼叫,则上行到2F ,停5s 后,继续道3F 碰行程开关后停止;当电梯与1F 或2F ,3F 呼叫时,则上行到3F 碰行程开关停止。 2、电梯上行要求:当电梯停于1F ,2F 、3F 呼叫,则上行到2F ,停5s 后,继续到3F 碰行程开关后停止;当电梯与1F 或2F ,3F 呼叫时,则上行到3F 碰行程开关停止。 3、当电梯停于2F ,3F 下呼、1F 上呼,按 顺序执行。 4、各楼层运行时间应在15s 内,否则认为故障,置故障灯,无呼叫时电梯停在1F 。 设计任务及要求 1、根据系统功能,确定总体控制方案(包括设计系统组成框图,缝隙各部分的作用) 2、分析系统的功能与任务,确定输入输出信号和类型,选择PLCA 型号和扩展模块。 3、建立I/O 分配表,完成PLC 与输入/输出信号的外部接线及电机控制接线; 4、按系统的控制要求,用梯形图设计程序; 5、上机调试、完善程序; 6、按学校规定格式,撰写、打印设计说明书一份;设计说明书应在4000字以上。 技术参数:电机额定电压380V ,额定电流33.5A ,额定功率14.8k W 。 进度计划 1、布置任务,查阅资料,确定系统电路的组成(2天) 2、建立I/O 分配,完成外部接线设计(1天) 3、按系统的控制要求,完成梯形图设计(2天) 4、上机调试、修改程序(1天) 5、撰写、打印设计说明书(2天) 6、答辩(1天) 指导教师评语及成绩 平时: 论文质量: 答辩: 总成绩: 指导教师签字: 年 月 日

三层电梯控制程序设计

三层电梯控制程序设计 1.三层电梯控制分析 三层电梯控制输入、输出均为开关量,按控制逻辑TA + =) (表达式, Y? QA Y 分析QA进入条件、TA退出条件,可直接逐条进行逻辑控制设计。 到三层,由行程开关3LS停止; 这一条逻辑控制中的输出为上升,其进入条件为3AX呼叫,且电梯停在一层或二层,用1LS、2LS表示停的位置,因此,进入条件可以表 示为: LS? AX + LS ? X + = X 11 12 4 ( ) 3 2 1(X ) 退出条件为3LS动作,因此逻辑输出方程为: LS AX LS LS X Y? Y + X = + ? + = + ) 12 [( X 4 13 ]1 3 11 [( ]1 Y 1 1X 2 3) (2)当电梯停于三层或二层时,如果按1AS按钮呼叫,则电梯下降到一层,由行程开关1LS停止; 此条逻辑控制中输出为下降,其进入条件为: LS AS LS? X X + = + ? 13 ) 2(X 12 1 ( ) 3 1 退出条件为1LS动作,逻辑输出方程为: LS AS LS LS X Y? Y + X = + ? + = + 2X 13 X [( ) 1 11 ]2 1 12 [( Y 2 3 1) ]2 (3)当电梯停于一层时,如果按2AS按钮呼叫,则电梯上升到二层,由行程开关2LS停止; 此条逻辑控制中输出为上升,其进入条件为: LS? = ? AS 11 2 2 1X X 退出条件为2LS动作,逻辑输出方程为: Y? + AS Y LS ? ? = + X = ? LS 11 ( 2 )1 12 2 )1 Y 1X 1( 2 X (4)当电梯停于三层时,如果按2AX按钮呼叫,则电梯下降到二层,由行程开关2LS停止; 此条逻辑控制中输出为下降,其进入条件为: LS? ? AX = 3X 3 X 13 2 退出条件为2LS动作,逻辑输出方程为:

PLC三层电梯控制设计讲课稿

P L C三层电梯控制设 计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训内容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵内容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层 U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止;

基于PLC的三层电梯控制系统设计 开题报告

工学院毕业设计(开题报告) 题目:基于PLC的三层电梯控制设计 专业:机械械设计制造及其自动化 班级:06级(2)班 姓名:石德龙 学号:2006664220 指导教师:陈娟 日期: 2010-6-7

安徽科技学院本科生毕业论文(设计)选题申请表 基本情况课题名称 教师姓名职称 课题来源 A.科研 B.生产 C.教学 D.其它课题类型 A.论文 B. 设计 选 题 理 由 签字: 年月日 指 导 教 师 意 见签字: 年月日 审 题 意 见 教学院(部)签章: 年月日安徽科技学院本科生毕业论文(设计)开题报告书

题目基于三层电梯的PLC控制 学生姓名石德龙指导教师陈娟职称副教授 一、本课题的研究背景及意义 (1)题目背景:随着城市建设的不断发展,楼群建筑不断增多,电梯在当今社会的生活中有着广泛的应用。电梯作为楼群建筑中垂直运行的交通工具已与人们的日常生活密不可分。实际上电梯是根据外部呼叫信号以及自身控制规律等运行的,而呼叫是随机的,电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足控制要求的,因此,大部分电梯控制系统都采用随机逻辑方式控制。传统的电梯运行逻辑控制系统采用继电器逻辑控制线路。这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术上发展来看,这种系统将逐渐被淘汰。如何解决电梯的可靠性、维护方便等问题已成为全社会关注的焦点和大众的迫切心声。 (2)题目研究的意义:目前,由可编程序控制器和微机组成的电梯运行逻辑控制系统,正以很快的速度发展着。采用PLC控制的电梯可靠性高、维护方便、开发周期短,这种电梯运行更加可靠,并具有很大的灵活性,可以完成更为复杂的控制任务,已成为电梯控制的发展方向,其许多功能是传统的继电器控制系统无法实现。 可编程控制(Programmable Controller)系统是专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出控制各种类型的机械设备或生产过程。通过可编程控制器可以实现由继电器实现的逻辑控制功能,而且最主要的是可编程控制器的“可编程”功能,使得当改变电梯的控制功能时,只要更改程序即可,而不需要像继电器控制系统那样改变硬件和接线。 二、本课题国内外研究现状 传统的电梯控制系统主要采用继电器—接触器进行控制,其缺点是触点比较多,故障高,可靠性差、体积大、维修工作量大等缺点,正逐步被淘汰。世界上各主要PLC生产厂家几乎都有运动控制功能,它的运动控制功能广泛地应用于各种机械,如金切削机床、金属成型机械、电梯等;随着计算机控制的发展,近年来国外工厂

三层电梯PLC控制系统设计方案报告

三层电梯PLC控制系统设计方案报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

摘要 本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

目录 第一章三层楼电梯自动控制 (3) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (7) 4.输入/输出端子接线图 (8) 5.运动形式分析 (8) 6.助记符 (15) 三.硬件配置设计 (19) 1. 电梯控制构成 (20) 2. 主电路 (21) 四.型号规格 (22)

三层电梯控制电路

三层电梯控制电路设计 一. 设计要求 1. 每层电梯入口处设有上下请求开关,电梯设有顾客到达层次的停站请求开关。 2. 设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。 3. 电梯每秒升(降)一层楼。 4. 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 5. 能记忆电梯外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性, 通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。

图1. 电梯控制器原理图 四. 三层电梯控制器的结构体设计 首先说明一下状态。状态机设置了lO个状态,分别是电梯停留在l层(stoponl)、开门(dooropen)、关门(doorclose)、开门等待第1秒(doorwaitl)、 开门等待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之 后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态 机。 在结构体中,设计了俩个进程互相配合,一个是状态机进程作为主要进程, 另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信 号灯进程产生的信号灯信号为依据的,而信号灯进程号灯的熄灭又是由状态机进 程中传出的clearup和cleardn信号来控制。 在状态机进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个 状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定 下一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对 信号的判断,决定电梯是上升、下降还是停止。 本设计需要完成的任务是编写VHDL代码来模拟现实中的三层电梯工作。在 点阵上显示电梯所在的楼层,当其它楼层有上或下的请求信号时,表示该楼层上 或下的绿色或黄色指示灯亮,电梯开始上或下运行,当到达该楼层时,表示该楼 层上或下的绿色或黄色指示灯灭,表示到达该楼层的红色指示灯亮,点阵显示楼 层数,红色指示灯灭。 五. vhdl源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------- entity elevator is port( clk : in std_logic; --Clock Signal k1,k2u,k2d,k3 : in std_logic; --Push button d1,d2u,d2d,d3 : out std_logic; --Led of every floor door1,door2,door3 : buffer std_logic; --door led r0,r1,r2,r3,r4,r5,r6,r7 : out std_logic; --7 segment driver sa,sb,sc : out std_logic); --Display Select end elevator; --------------------------------------------------------------------

三层电梯控制设计

三层电梯控制设计 Prepared on 24 November 2020

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量

plc三层电梯控制设计知识讲解

p l c三层电梯控制设 计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止;

(3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而 1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向的下降按钮呼叫均无效; (8)在电梯下降途中,任何反方向的上升按钮呼叫均无效; (9)每层楼之间的到达时间应在10s完成,否则电梯停机; (10)电梯的起始位 置和程序的启动、停止运 行自行设计。 1.3电梯的结构 1-减速箱 2-曳引轮 3-曳引机底座 4-导向轮 5-限速器 6-机座 7-导轨支架 8-曳引钢绳 9-开关碰铁 10-紧急开关 11-导靴 12-轿架

相关文档
最新文档