三层电梯模型PLC控制系统设计与调试带程序注释

三层电梯模型PLC控制系统设计与调试(带程序注释)一、控制要求:

1.系统应具备:有司机、无司机、消防三种工作模式。

2.系统应具备下列几项控制功能:

1)自动响应层楼召唤信号(含上召唤和下召唤)。

2)自动响应轿厢服务指令信号。

3)自动完成轿厢层楼位置显示(二进制方式)。

4)自动显示电梯运行方向。

5)具有电梯直达功能和反向最远停站功能。

3.系统提供的输入控制信号:

AYS向上行驶按钮

AYX向下行驶按钮

YSJ有/无司机选择开关

1YC 一楼行程开关

2YC二楼行程开关

3YC三楼行程开关

A1J 一楼指令按钮

A2J二楼指令按钮

A3J三楼指令按钮

AJ指令专用开关(直驶)

ZXF置消防开关

A1S 一楼上召唤按钮

A2S二楼上召唤按钮

A2X二楼下召唤按钮

A3S三楼上召唤按钮

A3X三楼下召唤按钮

4.系统需要输出的开关控制信号:

KM开门显示

GM关门显示

MGB门关闭显示

DCS上行显示

DCX下行显示

S上行继电器(控制电动机正转)

X下行继电器(控制电动机反转)

YX 运行显示

A LED七段显示器a段发光二极管

B LED七段显示器b段发光二极管

C LED七段显示器c段发光二极管

D LED七段显示器d段发光二极管

E LED七段显示器e段发光二极管

F LED七段显示器f段发光二极管

G LED七段显示器g段发光二极管

1DJA 一楼指令信号登记显示

2DJA二楼指令信号登记显示

3DJA三楼指令信号登记显示

1DAS 一楼上召唤信号登记显示

2DAS二楼上召唤信号登记显示

2DAX二楼下召唤信号登记显示

3DAS三楼上召唤信号登记显示

3DAX三楼下召唤信号登记显示

二、课题要求:

1.按题意要求,画出PLC端子接线图及控制梯形图。

2.完成PLC端子接线工作,并利用编程器输入梯形图控制程序,完成调试。

3.完成课程设计说明书

三、答辩问题:

1.阐明程序设计思想及工作流程。

2.当层楼数增加,开关量输入和输出的点数将作如何变化?

3.若需要电梯只服务于奇数楼层,梯形图将作如何变换?

4.若需要电梯只服务于偶数层楼,梯形图将作如何变换?

5.若正常运行方式作为方式A,上述3、4题运行方式作为方式B、方式C、方式D,如何采用两个输入开关来任选其中一个作为当前运行方式

6.电梯控制中清除召唤登记的条件是什么?

7.电梯控制中清除指令登记的条件是什么?

下面是图纸:

1、线路部分

轿幅恻尧全回路打通竞全回路机房安全回陛

10 12 一

1KMT-

2、主回路图

DC1L0Y --- 0 01 3 PLC接线图

Q

"220 V

安金回路丁1" JY

!矫顶工1机房工2轿内商

上平层YPs-

F 平层可二

L.

邛YPK

' ----J

L

娶门—L- 1 1 1 1 AGM 开门” AKM 下强减

c 1KW

1

u

上强减

_______ n

'1_■1

2KV n ______

消号/

L- AC n

________

明因

_- YK

_______________ Ci_---

「-、 __

2 ---

~~7

;S 2YG

I (3YG

■ ---

L.

一楼愚应器 二楼感应盟 三楼愚应器 门锁—楼指导J k

Tl

J — A1J

C

二楼指岁4^ -------------- Q

J — A2J O ---------------- 三楼指旗彳 --------- O J_ A3J

1二1

----------------

J_ A1S

_ L+fe |_- rt . b ___________________________ n

-ht J__tr •

二楼上百0 ---------------- □ 」 A2S O ------------- -低下禹A

n

.1— A3K

三搂下召 ----------- 口 U ----------------

N S4V- 24V+ RUK

COM

K0 KI

、也

S3'

K4

X5

X6 KT K10

Kll

K12 K1.3

K14 K16

X16

X17

K20 X21

X23’

X24

X25

鹿6 X2-7

FX2n

4 PLC 接线图

FX2n 5 FX2n-48MR 程序

COMl

! :

W

COMS

COM3

RB

YLL JGM

Y12

D1J

D3J

D2X

D5H

KD5

——用201

202 AC 22°V

COM4

Y14

T15

Tie

Y17

i i

---- 1 _F

,1

L

--------- 1卜

COMS

Y2Q

Y21

Y22

Y23

Y24

Y2S

Y26

Y2?

1

1

*

1 1

1

1

*

---- 1|

---- 1|

--------- 1

--------- 卜

Y13

sot

AC 24V

GM

302

DH

D2

DIS

TKM KEN

KOU

(Y016

-一褛灯,

< M502 ]

使用艇01』初3做楼层控制继电器,可

以保德梯失电后,仍凝忆建电前楼层

所在

/ Y01T m

二楼灯-

■: M50S , ?

-三楼-

.;- Y020 ,?

-三褛灯”FX2n-48MR 程序

X003 X004 MO M2 YOU 百B 感应下平P 感应启茹E 一曲—有总电器

Yono

TH

T1O

< Y010 1

开门继电需

向下按钮

XOOT

X010

nisi H-F 锁梯

开门限时

bTll KI00 :

关门限时 "

YOU : 门

缝电嚣

7 FX2n-48MR 程序 Y014 (M51 〕 "锁梯'

门4 K100

锁梯延时

{SET

I

1

输出禁止 (M80?4 '禁止输

M52 "J

输出禁止

8 FX2n-48MR 程序

Ml I I_

Y014:I__

肩幼

上古由灯

X005X002.

9 FX2n-48MR 程序

M5CI

1

¥021

¥02£ M5O2

Y023 M5O3

216 xoia 二楼感应肥

xaiT

7…

X0L5 寻U

应器 M3

Y0L4

YD15

z 1

灯下,扁7

23-7

停站建时就 电器

K1O

停站延时时 间

TIP

惇站送时时

F TLIlZ

民耀电器

10 FX2n-48MR 程序

Y002

X001

T

R

245

T 口叫;

爵随时

i 帕

快生延时: 省器

快车延时建 电第

< T002 ] 块

车接触嘲

快车硅时缝 期需

一快车延时时 演

MOL Y003

飞由慢车为赢

YOOZ

X002:

11 FX2n-48MR 程序

¥002

快车接触器

1T 。 K2D

-奥加速延 时时

¥003

速延慢车接触器

< Y004 ]

1播触器-

Y004

Y003 X002

触器

K10

一皴制动时 同

一级制动时 司

X002

Y003

慢车接触器

(J2

K7

二皴制动时 问

Y00E

TZ

285 ―| (— 二皴

制动时 诃

Y006

CT3

K5

三皴制动时 |3|

三皴制动时 可

C Y007

&A 接触器

12

FX2n-48MR 程序

X026

Y013 掇

呜器

X024

二档向上技

X025

三褛向下技 田

如3———n 一楼

向上技 困

298

1、输入接口:

2、输出接口

(1)安全回路(1)上行接触器

(2)门锁(2)下行接触器

(3)检修开关(3)快车接触器

(4)上平层感应器(4)慢车接触器

(5)下平层感应器(5)一级加速接触器

(6)向上按钮(6)一级减速接触器

(7)向下按钮(7)二级减速接触器

(8)关门按钮(8)三级减速接触器

(9)开门按钮以上为一组:选用交流220V电压的接触

(10)上强迫减速限位(9)开门继电器

(11)下强迫减速限位(10)关门继电器

(12)消号按钮(11)JK继电器

(13)锁梯钥匙(12)蜂鸣器

(14)一楼楼层感应器(13)向上方向显示

(15)二楼楼层感应器(14)向下方向显示

(16)三楼楼层感应器(15)一楼楼层显示

(17)一楼指令按钮(16)二楼楼层显示

(18)二楼指令按钮(17)三楼楼层显示

(19)三楼指令按钮(18)一楼指令显示

(20)一楼向上召唤按钮(19)二楼指令显示

(21)二楼向上召唤按钮(20)三楼指令显示

(22)二楼向下召唤按钮(21)一楼上召唤显示

(23)二楼向下召唤按钮(22)二楼上召唤显示

(23)二楼下召唤显示

(24)三楼下召唤显示

以上合并为一组,选用交流24V电压电源确定I/O接口点数

根据计算,输入共23点,输出共24点,我们可以选用FX2n-48MR的PLC来编制程序(输入输出各24

点)

三层电梯PLC控制系统设计

宁波理工学院 自动控制原理 题目三层电梯PLC控制系统设计 组员学号 班级电子信息工程111班 指导老师孙林军 一.三层电梯系统控制要求: (1)当轿厢停在一楼时,如果三楼有呼叫,则轿厢直接上升到三楼;如果二楼有呼叫,则轿厢直接上升到二楼;如果二楼和三楼同时有呼叫,则先上升到二楼再到三楼。 (2)当轿厢停在三楼时,如果一楼有呼叫,则轿厢直接下降到一楼;如果二楼有呼叫,则轿厢直接下降到二楼;如果二楼和一楼同时有呼叫,则先下降到二楼再到一楼。 (3)当轿厢停在二楼时,如果一楼有呼叫,则轿厢直接下降到一楼;如果三楼有呼叫,则轿厢直接上升到三楼;如果三楼和一楼同时有呼叫,要看电梯运行方向,原来电梯下行则轿厢先下降到一楼再上升到三楼;原电梯上行,则轿厢先上升到三楼再下降到一楼。 (4)当轿厢停在每一层楼时,停3S后开门,开门6S后关门,再停2S后继续运行。 (5)轿厢运行期间不能开门,轿厢不关门不允许运行。 二.根据以上要求,可分为轿厢上/下行电机、电梯门开/关电机A、电梯门开/关电机B、电梯门开/关电机C等控制对象建立要求表。 (1) 轿厢上/下行电机,控制要求如下图: 控制对象轿厢上/下行电机 控制方式按下楼层开关,电机启动;轿厢到达指定楼层则电机停止 工作条件一楼、二楼、三楼电梯门关闭 (2) 电梯门开/关电机A,控制要求如下图: 控制对象电梯门开/关电机A 控制方式轿厢停在一楼层,3S后启动,启动1S后停止;停止6S后启动,启动1S后停止 工作条件(1)轿厢到达一楼层 (2)轿厢上/下行电机停止 (3) 电梯门开/关电机B,控制要求如下图: 控制对象电梯门开/关电机B

(完整版)基于PLC的三层电梯控制系统毕业设计论文

工学院毕业设计(论文) 题目:基于PLC的三层电梯控制设计 专业:机械械设计制造及其自动化

目录 引言................................................................... 1 电梯的概述........................................................... 1.1 电梯的发展简史..................................................... 1.2 电梯的基本结构..................................................... 2 可编程控制器简介..................................................... 2.1 PLC的结构及各部分的作用 .......................................... 2.2 PLC的工作原理 .................................................... 2.3 PLC的编程语言 .................................................... 2.4 PLC基本指令 ...................................................... 2.5 梯形图设计规则..................................................... 3 三层电梯PLC控制系统设计............................................. 3.1 电梯的控制要求....................................................

PLC课程设计(三层电梯控制系统)

PLC课程设计(三层电梯控制系统) 系统介绍 本篇文档将介绍一个基于PLC的三层电梯控制系统,包括系统的架构、PLC程序设计及硬件实现。 系统架构 三层电梯控制系统由三部分组成:电梯控制器、上行电梯和下行电梯。系统的架构如下图所示: +--------------+ | | | 控制器(PLC)+----> 上行电梯 | | +--------------+ | | +----------> 下行电梯 PLC程序设计 状态图 PLC程序设计基于电梯的状态图,如下所示: +--------------------+ +------>| 开门状态 |<-------------+ | +--------------------+ | | ^ | | | | +------------+ +------------+ +----------------+ | 初始状态 |---->| 运行状态 |------->| 初始状态 | +------------+ +------------+ +----------------+ | | | | v | | +--------------------+ | +-------| 关门状态 |--------------+ +--------------------+ 在初始状态下,电梯处于停止状态。当有请求时,电梯进入运行状态,前往相应楼层。当到达楼层时,电梯进入开门状态,然后回到初始状态。如果超过一段时间后没有操作(如10秒),电梯进入关门状态,然后返回初始状态。

PLC程序 PLC程序设计与状态图密切相关,如下: M0 --> 延时10秒 --> M1 --> M2 | | | | v | +---------------> 开门 <---+ M3 上行楼层 | 下行楼层 | | | | v | +------------------运行----+ M0~M3是输入信号,表示控制器接收到的外部信号。控制器将根据输入信号进行判断,并输出不同的信号控制电梯的运行状态。 硬件实现 三层电梯控制系统的硬件实现需要使用PLC控制器和相关的I/O模块。示例如下: +-----------------+ | PLC控制器 | +-----------------+ | | +-----------------+ | DI模块 | +-----------------+ | | +-----------------+ | DO模块 | +-----------------+ PLC控制器将接收DI模块提供的输入信号,并根据程序设计输出DO模块的信号。 本文档介绍了一个基于PLC的三层电梯控制系统,包括系统的架构、PLC程序设计及硬件实现。通过本文档,读者可以深入了解PLC控制器在电梯控制系统中的应用,实现控制器对电梯的精确控制。

三菱PLC的三层电梯控制系统设计

郑州工业应用技术学院 课程设计任务书 题目基于PLC的三层电梯控制系统设计 专业、班级14级电气自动化学号1402030109姓名黄腾腾主要内容:利用三菱FX系列PLC系统,进行三层电梯控制系统的设计,编写PLC的梯形图程序。 在FX系列PLC综合实验面板上,进行实验电路的熟悉和连接。应用组态设计软件进行三层电梯的监控程序设计,要求与PLC程序实现同步。 基本要求:电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。 参考资料 [1]王玮.电气工程实验教程.北京:北京交通大学出版社,2006 [2]胡学林.可编程控制器教程.北京:电子工业出版社,2005 [3]刘美俊.电气控制与PLC工程应用.北京:机械工业出版社,2011 完成期限: 指导教师签名: 课程负责人签名: 2016年11月28日

郑州工业应用技术学院 课程设计说明书题目:基于PLC的三层电梯控制系统设计 *名:*** 院(系):机电工程学院 专业班级:14级电气自动化 学号:********** 指导教师:赵娟萍陈静 成绩: 时间:2016年11月28日至2016 年12 月9日

三层电梯PLC控制系统设计

三层电梯PLC控制系统设计

目录 第1章PLC的选择及其控制系统的设计 (3) 1.1 PLC工作原理 (3) 1.2 PLC控制电梯的优点 (3) 1.3 基于PLC的电梯设计 (4) 1.3.1硬件设计 (4) 1.3.2软件设计 (6) 第2章系统软件开发 (8) 2.1 系统软件开发的过程 (8) 2.1.1开关门控制 (8) 2.1.2楼层信号显示 (10) 2.1.3 轿内与厅外召唤的登记与消除 (11) 2.1.4 电梯的定向 (12) 2.1.5 停车信号的产生 (12) 2.1.6 制动减速信号的产生 (12) 2.1.7 电梯启动加速、稳速运行与停车制动环节 (13) 2.1.8 报警系统 (13) 结论 (14)

第1章 PLC的选择及其控制系统的设计 1.1 PLC工作原理 PLC是一种工业计算机,其工作原理是建立在计算机工作原理基础上的,CPU采用分时操作方式来处理各项任务,即每一时刻只能处理一件事情,程序的执行是按照顺序依次执行。这种分时操作过程称为PLC对程序的扫描,扫描一次所用的时间称为扫描周期。运行时,逐条地解释用户程序,并加以执行。程序中的数据并不直接来自输入或输出模块的接口,而是来自数据寄存器区,该区域中的数据在输入采样和输出锁存时周期性地不断刷新。PLC的扫描工作过程大致可以分为3个阶段:输入采样、用户程序执行和输出刷新3个阶段,如下图所示。在整个运行期间,PLC的CPU以一定的扫描速度重复执行上述3个阶段。 (1)输入采样阶段 在输入采样阶段,PLC首先扫描所有输入端子,再依次地读入所有输入状态和数据,并将它们存入输入寄存器中。此时,输入寄存器被刷新。输入采样结束后,转入用户程序执行和输出刷新阶段。在这两个阶段中,即使输入状态和数据发生变化,输入寄存器中相应单元的状态和数据也不会改变。因此,如果输入是脉冲信号,则该脉冲信号的宽度必须大于一个扫描周期,才能保证在任何情况下,该输入均能被读入。 (2)用户程序执行阶段 输入采样阶段的输入信号被刷新后,送入程序执行阶段。组成程序的每条指令都有顺序号,指令按顺序号依次存入存储单元。在程序执行期间,微处理器将指令顺序调出并执行,并对输入和输出状态进行处理,即按程序进行逻辑、算术运算,在将结果存入输出状态寄存器中。 (3)输出刷新阶段 当用户程序执行完毕后,PLC就进入输出刷新阶段。在此期间,CPU按照输入/输出状态寄存器内对应的状态和数据刷新所有的输出锁存电路,转换成被控设备所能接收的电压或电流信号,再经输出电路驱动相应的外设。在下一个输出刷新阶段开始之前,输出锁存器的状态不会改变,从而相应输出端子的状态也不会改变。 1.2 PLC控制电梯的优点 PLC实现电梯升降控制的优势:电梯作为高层建筑物的重要交通工具与人们的工作和生活日益紧密联系。PLC作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一。 PLC是一种专门从事逻辑控制的微型计算机系统。由于PLC具有性能稳定、抗干扰能力强、设计配置灵活等特点。因此在工业控制方面得到了广泛应用。自80年代后期PLC引入我国电梯行业以来,由PLC组成的电梯控制系统被许多电梯制造厂家普遍采用。并形成了一系列的定型产品。在传统继电器系统的改造工程中,PLC系统一直是主流控制系统。

(完整版)毕业设计三层电梯PLC控制系统设计

摘要 随着科学技术和社会经济的发展,高层建筑已成为现代城市的标志。电梯作为垂直运输工具,承载着大量的人流和物流的输送,在建筑物中起着至关重要的作用。采用可编程控制器对电梯进行控制,通过合理的选择和设计,能够有效地提高电梯的控制水平,使电梯的控制达到比较理想的控制效果. 本文设计一个三层电梯控制系统,基于西门子S7-200PLC实现。在介绍电梯结构的基础上,重点分析了三层电梯的控制要求以及电梯控制系统设计中如何用PLC实现控制系统,编制梯形图,并完成程序的调试,利用QSPLC—III型实验装置的电梯模块对三层电梯控制系统进行仿真实验. 关键词:电梯西门子可编程控制器调试仿真实验

Abstract With the development of science and technology and social economy development,high—rise buildings have become the hallmark of modern cities。As a vertical transportation equipment,a lot of people bear the transportantion and logistics,its role a very important part in building 。Using Programmable Controller to cntrol the elevater 。can improve the reliability and enable the elevator control to achieve an ideal effect, through the reasonable selection and design.So the effect of control is more ideal。 This paper use Siemens S7-200 PLC to design a 3-storied elevator control system.Based on the introduction of the elevater’s basic structure,expatiates the control request of elevator and analyzes how to use the PLC to program controlling process,edit ladder diagram and debug the program,And use the elvator module on QSPLC-III experimental equipment to do simulation experiment。 Keywords:Elevator Siemens PLC Debug simulation experiment

plc三层电梯控制设计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关

LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向的下降按钮呼叫均无效; (8)在电梯下降途中,任何反方向的上升按钮呼叫均无效; (9)每层楼之间的到达时间应在10s完成,否则电梯停机; (10)电梯的起始位置和程序的启动、停止运行自行设计。 1.3电梯的结构 1-减速箱2-曳引轮 3-曳引机底座4-导向轮 5-限速器6-机座 7-导轨支架8-曳引钢绳 9-开关碰铁10-紧急开关 11-导靴12-轿架 13-轿门14-安全钳 15-导轨16-绳头组合 17-对重18-补偿链

三层电梯模型PLC控制系统设计与调试带程序注释

三层电梯模型PLC控制系统设计与调试(带程序注释)一、控制要求: 1.系统应具备:有司机、无司机、消防三种工作模式。 2.系统应具备下列几项控制功能: 1)自动响应层楼召唤信号(含上召唤和下召唤)。 2)自动响应轿厢服务指令信号。 3)自动完成轿厢层楼位置显示(二进制方式)。 4)自动显示电梯运行方向。 5)具有电梯直达功能和反向最远停站功能。 3.系统提供的输入控制信号: AYS向上行驶按钮 AYX向下行驶按钮 YSJ有/无司机选择开关 1YC 一楼行程开关 2YC二楼行程开关 3YC三楼行程开关 A1J 一楼指令按钮 A2J二楼指令按钮 A3J三楼指令按钮 AJ指令专用开关(直驶) ZXF置消防开关 A1S 一楼上召唤按钮 A2S二楼上召唤按钮 A2X二楼下召唤按钮 A3S三楼上召唤按钮 A3X三楼下召唤按钮 4.系统需要输出的开关控制信号: KM开门显示 GM关门显示 MGB门关闭显示 DCS上行显示 DCX下行显示 S上行继电器(控制电动机正转) X下行继电器(控制电动机反转) YX 运行显示 A LED七段显示器a段发光二极管 B LED七段显示器b段发光二极管 C LED七段显示器c段发光二极管 D LED七段显示器d段发光二极管 E LED七段显示器e段发光二极管 F LED七段显示器f段发光二极管 G LED七段显示器g段发光二极管 1DJA 一楼指令信号登记显示 2DJA二楼指令信号登记显示 3DJA三楼指令信号登记显示 1DAS 一楼上召唤信号登记显示 2DAS二楼上召唤信号登记显示 2DAX二楼下召唤信号登记显示 3DAS三楼上召唤信号登记显示 3DAX三楼下召唤信号登记显示

三层电梯的PLC控制

在现代社会中,电梯的使用非常普遍。随着PLC 控制技术的普及,大大提高了控制系统的可靠性,减少了控制装置的体积。 (1) 当轿厢停在一楼或者二楼,如果三楼有呼叫,则轿厢上升到三楼。 (2) 当轿厢停在二楼或者三楼,如果一楼有呼叫,则轿厢下降到一楼。 (3) 当轿厢停在一楼,二楼、三楼均有人呼叫,则先到二楼,停8s 后继续上升,每层均停8s,直到三楼。 (4) 当轿厢停在三楼,一楼、二楼均有人呼叫,则先到二楼,停8s 后继续下降,每层均停8s,直到一楼。 (5) 在轿厢运行途中,如果有多个呼叫,则优先相应与当前运行方向相同的就近楼层,对反方向的呼叫进行记忆,待轿厢返回时就近停车。 (6) 在各个楼层之间的运行时间应少于10s,否则认为发生故障,应发出报警信号。 (7) 电梯的运行方向指示。 (8) 用数码管显示轿厢所在的楼层。 (9) 在轿厢运行期间不能开门。 (10) 轿厢不关门不允许运行。 根据设计要求,在该三层电梯控制系统中,输入设备均为开关量,故而输入模块选择为直流数字量输入模块;输出模块选为直流流数字量输出模块。因此选用DI32*DC24V,DO32*DC24/0.5A,电源和CPU 模块选用实验室用的,即选用PS 307 10A 、CPU314(1)。所选硬件如表1 所示。 表1 系统硬件配置表 模块 PS 307 10A CPU314(1) DI32*DC24V I 地址 0…3 MPI 地址 2 槽号 1 2 3 4 固件 V3.0 Q 地址

5 DO32*DC24/0.5A 4 (7) 系统I/O 端口分配表如表2 所示。 表 2 I/O 端口地址分配表 输入信号 名称功能 一楼上呼叫按钮SB1 二楼上呼叫按钮SB2 二楼下呼叫按钮SB3 三楼下呼叫按钮SB4 轿厢内一楼按钮SB5 轿厢内二楼按钮SB6 轿厢内三楼按钮SB7 轿厢内开门按钮SB8 轿厢内关门按钮SB9 一楼开门限位开关SQ1 二楼开门限位开关SQ2 三楼开门限位开关SQ3 一楼关门限位开关SQ4 二楼关门限位开关SQ5 三楼关门限位开关SQ6 一楼限位开关SQ7 二楼限位开关SQ8 三楼限位开关SQ9 一楼关门防夹SQ10 二楼关门防夹SQ11 三楼关门防夹SQ12 输入端口地址 I 0.0 I 0.1 I 0.2 I 0.3 I 0.4 I 0.5 I 0.6 I 1.0 I 1.1 I 1.2 I 1.3 I 1.4 I 1.5 I 1.6 I 1.7 I 2.0 I 2.1 I 2.2 I 2.3 I 2.4 I 2.5 输出信号 名称功能 上升显示灯L1 下降显示灯L2 到达一楼显示灯L3 到达二楼显示灯L4 到达三楼显示灯L5 报警器B 一楼上呼叫显示L6 二楼上呼叫显示L7 二楼下呼叫显示L8 三楼上呼叫显示L9 轿厢内一楼呼叫显示L10 轿厢内一楼呼叫显示L11 轿厢内三楼呼叫显示L12 一楼开门接触器KM1 一楼关门接触器KM4 二楼开门接触器KM2 二楼关门接触器KM5 三楼开门接触器KM3 三楼关门接触器KM6 电梯上升接触器KM7 电梯下降接触器KM8 楼层显示晶闸管a 楼层显示晶闸管b 楼层显示晶闸管c 楼层显示晶闸管d 楼层显示晶闸管e 楼层显示晶闸管f 楼层显示晶闸管g 输出端口地址 Q 4.0 Q 4.1 Q 4.2 Q 4.3 Q 4.4 Q 4.5 Q 5.0 Q 5.1 Q 5.2 Q 5.3 Q 5.4 Q 5.5 Q 5.6 Q 6.0 Q 6.1 Q 6.2 Q 6.3 Q 6.4 Q 6.5 Q 6.6 Q 6.7 Q 7.0 Q 7.1 Q 7.2 Q 7.3 Q 7.4 Q 7.5 Q 7.6

三层电梯PLC控制系统设计含程序

三层电梯PLC控制系统设计含程序 电梯是现代建筑中常用的垂直交通设备,通过PLC控制系统实现对电 梯的控制和管理,可以提高电梯的运行效率和安全性。本文将针对一个三 层电梯进行PLC控制系统的设计,包括电梯的运行逻辑和程序实现。 一、电梯运行逻辑设计 1.状态监测 a.电梯位置检测:通过位置传感器检测电梯所在楼层,可以确定电梯 的位置信息。 b.门开关状态检测:通过开关传感器检测电梯门的打开和关闭状态, 可以确定电梯门是打开还是关闭。 2.运行控制 a.开门控制:当电梯到达指定楼层且电梯门关闭时,接收外部开门信 号时,电梯门打开。 b.闭门控制:当电梯门打开一段时间后,自动闭门。 c.电梯上行控制:当外部调用上行时,电梯按照最优路线上行到指定 楼层。 d.电梯下行控制:当外部调用下行时,电梯按照最优路线下行到指定 楼层。 e.紧急停止控制:当电梯发生故障或紧急情况时,立即停止电梯运行。 二、PLC程序设计

1.状态监测程序设计 a.电梯位置检测程序:通过读取位置传感器的状态信号,将电梯所在 楼层信息反馈给PLC程序。 b.门开关状态检测程序:通过读取开关传感器的状态信号,判断电梯 门的打开和关闭状态。 2.运行控制程序设计 a.开门控制程序:当电梯到达指定楼层且电梯门关闭时,接收外部开 门信号时,将开门信号发送给电梯门控制装置。 b.闭门控制程序:当电梯门打开一段时间后,将闭门信号发送给电梯 门控制装置。 c.电梯上行控制程序:当外部调用上行时,根据当前电梯的位置信息,计算最优路线,并将上行信号发送给电梯运行控制装置。 d.电梯下行控制程序:当外部调用下行时,根据当前电梯的位置信息,计算最优路线,并将下行信号发送给电梯运行控制装置。 e.紧急停止控制程序:当发生故障或紧急情况时,立即发送停止信号 给电梯运行控制装置。 3.整体控制程序设计 a.状态监测程序和运行控制程序的输出以及传感器的输入通过PLC的 I/O模块进行连接。 b.PLC基于状态监测程序和运行控制程序,根据输入信号进行逻辑运算,并根据运行控制程序的结果,控制电梯门和电梯的运行。

plc课程设计报告三层电梯模型控制word文档

plc课程设计报告三层电梯模型控制word文档 综合成绩优秀良好优秀良好不及格教师(签名)批改日期日期PLC课程设计报告课题:三层电梯模型控制院系电子与电气工程学院专业电气工程及其自动化班级电气3081学号308XXXX0122姓名尹XX20__年1月 一、控制要求三层电梯模型每一层有一个行程开关用以定位,外设轿箱内的3个呼层与轿箱外的4个(2层有上下呼梯按钮)呼梯按钮,一直流电机的正反转拖动轿箱上下行,并有上下行指示。开关门由两个输出信号驱动,同时有两个按钮控制,并设有开门到位的检测器件。另外有3个指示灯指示当前楼层。 1)上行时轿箱位置的上方和下行时轿箱位置的下方优先响应。 2)到某楼层停下时,先开门,定时一端时间后自动关门运行,若未关门完毕有人按下开门按钮则开门重新启动定时。 3)有正常的上下行和楼层指示输出。二控制系统设计分析编程元件IO端子作用I0.01楼外呼上I0.12楼外呼上I0.22楼外呼下I0.53楼外呼下I 1.0内呼1楼I 1.1内呼2楼I 1.3内呼3楼I 1.4开门I 1.5限行I 1.6关门I

1.7限行I1 4.01楼行程开关I1 4.12楼行程开关I1 4.33楼行程开关Q 4.01楼外呼指示Q 4.12楼外呼下指示Q 4.22楼外呼上指示Q 4.53楼外呼下Q____示2层指示Q 5.33层指示Q 5.4开门Q 5.5关门 三、PLC程序设计外层呼应123内层呼应4567上行优先8下行优先9上行10下行11停止开门12关门131 四、硬件配置插槽号模板名称1电源模板PS3075A2CPU模板CPU314C-2DP4SM321DI16DC24V(321-1BH02-OAAO)5SM322DO24V0.5A (SM322-1BU01-OAAO)五六七、调试过程调试步骤1:a)打开STEP7,按下,打开PLCSIM仿真界面,创建输入字节IB、输出字节QB、模拟量输入字PIW的视图对象。b)将CPU视图对象打到位置处,并按下即可运行程序。调试步骤2:a)接通电梯模型及PLC主机的电源,观察电梯模型、PLC主机供电是否正常,然后电源开关。b)按照分配的地址,将电梯模型中的信号端与PLC主机的端口相连。两台模型分别与两台PLC主机相连。c)打开STEP7,按按钮把硬件配置和程序到

三层电梯PLC控制系统设计(S7-200)含程序

三层电梯PLC控制系统设计(S7-200) 电梯控制系统硬件设计 1 模拟设备面板图展示 图1 面板图 如图1,其中S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;

SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分别为轿厢内一层、二层、三层电梯内选指示灯。 2 选择机型 PLC的种类非常繁多,不同种类之间的功能设置差异很大,这既给PLC机型的挑选提供了十分广阔的空间,同时也带来了一定的难度。机型选择的基本原则应是在功能满足要求的前提下,力争最好的性价比,并有一定的升级空间。 考虑到本次设计的电梯系统只有3层,且开关量居多,模拟量较少;对于开关量控制为主的系统而言,一般PLC的响应速度足以满足控制的要求,在小型PLC中整体式比模块式的价格便宜,体积也小,但是在设计活动中,经常碰到一些估计的指标,在设计活动中需要进行局部调整,另外模块式PLC排除故障所需时间短;我们估算输入输出接口比较多;由于考虑到本次设计的电梯系统只有3层,考虑到工厂造价,我们采用离线编程的方式,以减小软硬件的开销。 统计输入、输出点数并选择PLC型号: 输入信号有10个,考虑到有15%的备用点,即10×(1+15%)=11.5,取整数11,因此共需11个输入点。 输出信号有9个,考虑到有15%的备用点,即9×(1+15%)=10.35,取整数10,因此共需10个输出点。 因此可选用CPU224类型可编程控制器,它有14个输入点,10个输出点,满足本例的要求。 3 I/O分配表 表3 I/O分配表 电气符号 序号PLC地址(PLC端子) 功能说明 (面板端子) 1I0.3 S3 三层内选按钮 2I0.2 S2 二层内选按钮 3I0.1 S1 一层内选按钮 4I0.7 D3 三层下呼按钮 5I0.5 D2 二层下呼按钮 6I0.6 U2 二层上呼按钮

可编程控制(PLC)电梯的程序以及梯形图、详细解释

可编程控制(PLC)电梯的程序以及梯形图、 详细解释 PLC的工作原理是通过输入模块将外部信号转换为数字 信号,经过CPU处理后输出至输出模块,控制外部设备的运行。CPU是PLC的核心部件,负责接收输入信号、处理逻辑 运算、控制输出信号等。PLC还具有存储程序和数据的内存 模块,以及供电模块等。 4、电梯控制构成 电梯控制系统由电气控制部分和机械部分组成。电气控制 部分包括PLC控制器、输入输出模块、按钮、指示灯等,机 械部分包括电机、减速器、曳引轮、钢丝绳等。电梯控制系统 通过PLC控制器控制电机的运行,从而实现电梯的上下运动。 5、输入输出(I/O)端口功能分配表 输入输出端口功能分配表是指将输入输出端口与具体的功 能进行对应,以便于程序的编写和调试。在本实验中,输入端 口包括楼层请求信号和开关门信号,输出端口包括电机运行信 号和指示灯信号。

6、程序执行流程图 程序执行流程图是指将程序的执行过程以图形化的形式展示出来,便于程序员进行编写和调试。在本实验中,程序执行流程图包括电梯上行程序和电梯下行程序,分别对应电梯向上和向下运动的控制。 7、梯形图 梯形图是PLC程序编写中常用的图形化编程方法,以梯形图的形式展示程序的执行逻辑。在本实验中,梯形图包括定时器T0、一楼的控制、二楼的控制、三楼的控制、四楼的控制、确定电梯楼层位置、电梯趋势确定等部分。 8、指令表 指令表是指PLC程序编写中常用的指令及其功能的对照表,便于程序员进行编写和调试。在本实验中,指令表包括常用的输入输出指令、比较指令、逻辑指令、数学指令等。 五、问题与解决方案

在实验过程中可能会遇到各种问题,如PLC控制器无法 正常运行、输入输出信号异常等。针对这些问题,可以通过检查电路连接、更换设备、重新编写程序等方法进行解决。 六、实验总结与心得体会 通过本次实验,我深入了解了PLC的基本原理和应用, 掌握了电梯控制系统的设计方法和实现过程。同时,也发现了实验中存在的问题和不足之处,为今后的研究和工作提供了宝贵的经验。 通过本次电梯控制的设计,我对PLC控制有了更深入的 了解。在整个设计过程中,我遇到了许多困难,需要不断思考、定位、做笔记和向同学请教。但是,这个过程也让我获得了许多知识,不仅仅是电梯控制方面的,更重要的是我掌握了一种设计方法和思维方式。这些经验对我的未来研究将会有很大的帮助。我会以此为动力,勤加练,不断研究和进步。 删除了第一段的格式错误) 在这个设计中,我了解到了电梯控制的重要性和复杂性。通过对PLC控制的研究,我深入了解了电梯控制的工作原理

三层四级电梯的PLC程序设计

电梯模型 (一)电梯控制系统示意图 电梯模型 电梯内部 操作面板 (二)控制要求 1.四层电梯按照上与下先请求先响应,以及已经响应向上(或向下)请求必须完成所有的请求后,再响应向下的请求(或向下)的原则设计。 2. 当电梯有内呼和外呼请求信号时必须有记录。 3. 电梯在通电后,先自动关门,再判断升降。 4. 在上升过程中,电梯只响应大于当前楼层的内呼和向上外呼信号。当到达所呼楼层时电梯停止,定时2秒钟后自动开门,如无干预4秒钟后自动关门,关门后等待2秒钟后再判断运行。 5. 在下降过程中,电梯只响应小于当前楼层的内呼和向下外呼信号。当到达所呼楼层时电梯停止,定时2秒钟后自动开门,如无干预4秒钟后自动关门,关门后等待2秒钟后再判断运行。 6. 设置上、下限位开关以保护电梯,电梯的上升和下降及开门和关门要软件互 锁。 7. 电梯在上下运动时不能开关门,在自动开关门延时阶段可通过开门和关门按

钮进行人工干预开关门。 (三)I/O配置 输入: 平层信号内呼信号(按钮)外呼信号(按钮) 一层X1 X6 XA (上) 二层X2 X7 XB (上)XC(下) 三层X3 X8 XD (上)XE(下) 四层X4 X9 XF (下) 下限位X0 上限位X5 开门信号X10 开门限位X12 关门X11 关门限位X13 输出: 内呼响应外呼响应 一层Y6 YA (上) 二层Y7 YB (上)YC(下) 三层Y8 YD(上)YE(下) 四层Y9 YF (下) 电梯上升Y0 电梯开门Y2 电梯下降Y1 电梯关门Y3 (注:电梯上移与下移,开门与关门输出必须互相锁定,不能同时导通输出) (四)设计要求 理解动作过程,列写I/O配置表,画出硬件电路图,编写梯形图程序,进行系统调试。 (1)电梯原理流程图

PLC课程设计三层电梯

课程设计报告 题目三层电梯控制 课程名称PLC工业控制及应用 院部名称机电工程学院 专业电气工程及其自动化 班级10电气1班 学生姓名管志成 学号 1004103027 课程设计地点 C314 课程设计学时 20 指导教师 金陵科技学院教务处制

可编程控制器作为一种工业控制微型计算机,它以其编程方便、操作简单尤其是它的高可控性等优点,在工业生产过程中得到了广泛的应用。它应用大规模集成电路,微型机技术和通讯技术的发展成果,逐步形成了具有多种优点和微型,中型,大型,超大型等各种规格的系列产品,应用于从继电器控制系统到监控计算机之间的许多控制领域。PLC总的发展趋势是:高功能、高速度、高集成度、大容量、小体积、低成本、通信组网能力强。 本课程设计基于西门子(SIEMENS)S7-200 PLC对三层电梯的控制进行了模拟,形成了电梯升降的系统PLC在电梯升降的过程中,主要体现在逻辑开关的功能。由于PLC具有逻辑运算、记数、定时以及输出输入输出的功能,在电梯升降的过程中各种逻辑开关控制与PLC很好的结合,对电梯实现了控制。 关键词:SIEMENS S7-200 PLC;电梯;升降

一、绪论 1.1 相关背景知识 (3) 1.2 课程设计的目的 (3) 1.3 课程设计的要求 (3) 1.4 课程设计的任务 (4) 二、电梯控制系统硬件设计 2.1 模拟装置介绍 (5) 2.2 选择机型 (6) 2.3 I/O分配表 (6) 2.4 电气接线图与主电路图 (6) 2.5电梯控制系统的安全保护 2.5.1 短路保护 (7) 2.5.2 过载保护 (7) 2.5.3 失电压保护 (7) 2.5.4 超程保护 (7) 三、电梯控制系统软件设计 3.1软件设计流程图及描述 (8) 3.2 源代码设计 3.2.1 梯形图LAD (9) 3.2.2 语句表STL (14) 3.3 系统调试 (16) 四、课程设计体会 (16) 五、参考文献 (16)

三层电梯控制PLC课程设计

学号1350803203 《电气控制与PLC》 课程设计 (2013级本科) 题目:三层电梯自动控制系统设计 系(部)院:物理与机电工程学院 专业:电气工程及其自动化 作者姓名:陈泽江 指导教师:单乐职称:助教 完成日期:2016 年7 月 4 日

三层电梯模拟控制 一、设计目的 1.设计PLC控制的三层电梯模拟控制系统; 2.掌握PLC的编程软件及有关指令的使用方法; 3. 掌握PLC下位机与上位机通讯、软件调试的方法; 4. 培养学生逻辑思维能力、分析问题与解决问题能力。 二、硬件系统设计 1.硬件系统组成 硬件系统由编程计算机(上位机)、S7-200PLC控制器(下位机、数字量输入/输出点为24入/16出)和三层电梯模拟被控对象等组成,编程计算机(RS232通讯口)和S7-200PLC控制器(RS485通讯口)之间通讯采用PPI通讯模块。三层电梯模拟被控对象结构示意图如下所示。 2.定义I/O口地址分配表 输入信号输出信号信号元件及作用PLC输入口地址信号元件及作用PLC输出口地址启动/停止带锁按钮一层内选记忆灯L1 一层内选按钮K1 二层内选记忆灯L2 二层内选按钮K2 三层内选记忆灯L3 三层内选按钮K3 一层外呼向上灯UP1 一层平层检测KL1 二层外呼向上灯UP2

二层平层检测KL2 二层外呼向下灯DL2 三层平层检测KL3 三层外呼向下灯DL3 一层外选向上按钮U1 上行指示灯 二层外选向上按钮U2 下行指示灯 二层外选向下按钮D2 一层楼层指示灯SL1 三层外选向下按钮 D3 二层楼层指示灯SL2 三层楼层指示灯SL3 接0V DC 1M 接24V DC 1L、2L、3L 3.设计出硬件系统接线图 三、控制内容及程序设计 (一) 顺序呼叫控制内容 1. 设计要求 (1) 电梯初始位置在一层; (2) 电梯到达某一层,按下平层检测传感器,层显灯亮,同时层选灯灭; (3) 电梯离开某一层,按下平层检测传感器,层显灯灭; (4) 电梯运行方向用上、下行指示灯标记,电梯上行时,上行指示灯亮;电梯 下 行时,下行指示灯亮。 2. 设计内容 (1) 按下启动按钮,电梯开始工作; (2) 电梯初始位置在一层,每按外选上呼和下呼按钮,对应层选指示灯、方向 指示灯、层显与层检测传感器工作,实现其顺序控制功能; (3) 电梯在一层,内选都有呼叫并运行,对应层选指示灯、方向指示灯、层显 与 层检测传感器工作,实现其控制功能。 (二) 随机呼叫控制内容 1. 设计要求 (1) 电梯初始位置在一层; (2) 电梯到达某一层,按下平层检测传感器,层显灯亮,同时层选灯灭; (3) 电梯离开某一层,按下平层检测传感器,层显灯灭; (4) 电梯运行方向用上、下行指示灯标记,电梯上行时,上行指示灯亮;电梯 下 行时,下行指示灯亮; (5) 设计程序时应以该层为基准,来判断下一步如何动作与控制; (6) 电梯运行在层中间不能停止; (7) 遵循“顺向优先,内选优先、最远距离优先响应”的设计原则。 2. 设计内容

可编程控制(PLC)电梯的程序以及梯形图、详细解释

电气可编程控制原理与应用(PLC)的实验报告 实验人员:陶建美 日期:2011年6月21日 实验项目:电梯控制 报告框架(Ctrl+点击该链接,即可转入相应模块) 一、实验目的与要求 二、实验设备 三、实验内容 四、实验过程 1、电梯的基本构造 2、PLC的基本结构 3、PLC的工作原理 4、电梯控制构成 5、输入输出(I/O)端口功能分配表 6、程序执行流程图 7、梯形图(1)定时器T0 (2)一楼的控制(3)二楼的控制(4)三楼的控制

(5)四楼的控制(6)确定电梯楼层位置(7)电梯趋势确定 (8)电梯上行程序(9)电梯下行程序 8、指令表 五、问题与解决方案 六、实验总结与心得体会 电气可编程控制原理与应用(PLC)的实验报告 电梯控制 一、实验目的与要求 1、运用所学基本理论、基本知识和基本技能,分析与解决实际问题的能力,通过设计掌握控制PLC控制系统的基本设计方法和应用。 2、设计出一个四层楼电梯控制。 3、完成并实现实验内容的基本功能要求。 二、实验设备 计算机、PLC可编程控制器、FXGPWIN应用软件 三、实验内容 1、四层楼电梯基本设计

2、停止有刹车及保险止降装置 3、根据楼层请求上下,先来优先 4、请求与趋势同优先 5、楼内可以撤销楼内请求 四、实验过程 1、电梯的基本构造 电梯是一种特殊的起重运输设备,由桥厢及配重、拖动机及减速传动机械、井道及井道设备、召唤系统及安全装置构成。桥厢是载人或装货的部位,配重是为了改变电梯电机负载的特性以提高电梯安全性而设置的。 下图是电梯拖动系统示意图,图中可见电梯的桥厢及配重分系在钢丝绳的两端,钢丝绳跨挂在曳引轮上,曳引轮经减速机构由电机拖动,形成桥厢的上下运动。

基于三菱PLC的三层电梯控制系统设计.

整体设计较完善 但有几点注意: 1.对位置检测装置、防夹传感器、失速保护装置 等都没有说明。 2、有PLC外部接线图,但说明不够清晰,要对 硬件(接触器、行程开关、保护开关、使用电机功率要求等列个表,包括I/O端口的分配表,3.PLC的通用知识太的太多了,与课题无关的编程知识、PLC结构原理知识少讲 4.没有作过实际运行,就不要写“经实际运行……”之类的语言,你最后的一段有此类表 达,有不实之嫌。 5.不要用章节字眼排版. 6.注意如果下载太多,就应考虑用其他PLC重新编程改写。防止和别人重复。 7.以上内容修改后,就可以认真按格式排版、打印了 目录 摘要 (1) 第1章电梯概述 (2) 1.1引言 (2) 1.2电梯的发展简史 (2) 1.3电梯的基本结构 (2)

1.4电梯的分类 (4) 第2章可编程控制器简介 (5) 2.1 PLC的结构及各部分的作用 (5) 2.2 PLC的工作原理 (6) 2.3 PLC的编程语言 (7) 2.4 PLC基本指令 (7) 2.5 梯形图设计规则 (10) 第3章三层电梯PLC控制系统设计 (11) 3.1电梯的控制要求 (11) 3.2三层电梯主电路 (11) 3.3输入输出点数分配 (11) 3.4 PLC外围接线图 (12) 3.5功能指令表概述 (13) 3.6程序分析 (14) 3.7三层电梯梯形图程序 (18) 3.8三层电梯助记符语句程序 (20) 3.9本系统的不足及改进 (26) 结束语 (28) 致谢 (29) 参考文献 (30)

摘要 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题。 本论文通过讨论电梯控制系统的组成,阐述可编程控制器(PLC)在电梯控制中的应用,采用三菱PLC编程的程序控制方式,提出了三层电梯的PLC控制系统总体设计方案、设计过程、组成,列出了具体的主要硬件电路、电梯的控制梯形图及指令表。并给出了系统组成框图和程序流程图,在分析、处理随机信号逻辑关系的基础上,提出了PLC的编程方法,设计了一套完整的电梯控制系统方案。采用本方案实现电梯控制,能够解决继电器——接触器触点多,故障率高、可靠性差、安装调试周期长、维修工作量大、接线复杂等缺点。使电梯运行更加安全、方便、舒适。 关键词:电梯、PLC、梯形图

相关文档
最新文档