计算机组成原理双端口存储器实验报告

计算机组成原理实验报告

实验名称双端口存储器实验专业软件工程学院计算机与软件学院

姓名徐振兴班级(2)学号20111344069 指导老师任勇军

实验日期2013.5.24 得分

一、实验类别

原理性+分析性

二、实验目的

⑵了解双端口静态存储器IDT7132的工作特性及其使用方法;

⑵了解半导体存储器怎样存储和读取数据;

⑶了解双端口存储器怎样并行读写;

⑷熟悉TEC-8模型计算机中存储器部分的数据通路。

三、实验设备

⑴TEC-8 实验系统1台⑵双踪示波器1台

⑵直流万用表1块⑷逻辑测试笔(在TEC-8 实验台上) 1 支

四、实验电路

双端口RAM 电路由1 片IDT7132 及少许附加电路组成,存放程序和数据。IDT7132 有2 个端口,一个称为左端口,一个称为右端口。2 个端口各有独立的存储器地址线、数据线和3 个读、写控制信号: CE#、R/W#和OE#,可以同时对器件内部的同一存储体同时进行读、写。IDT7132 容量为2048 字节,TEC-8 实验系统只使用64 字节。

在TEC-8 实验系统中,左端口配置成读、写端口,用于程序的初始装入操作,从存储器中取数到数据总线DBUS,将数据总线DBUS 上的数写入存储器。当信号MEMW 为

1 时,在T

2 为1 时,将数据总线DBUS 上的数D7~D0 写入AR7~AR0 指定的存储单

元;当MBUS 信号为1 时,AR7~AR0 指定的存储单元的数送数据总线DBUS。右端口设置成只读方式,从PC7~PC0 指定的存储单元读出指令INS7~INS0,送往指令寄存器IR。

程序计数器PC 由2 片GAL22V10(U53 和U54)组成。向双端口RAM 的右端口提供存储器地址。当复位信号CLR#为0 时,程序计数器复位,PC7~PC0 为00H。当信号LPC 为1 时,在T3 的上升沿,将数据总线DBUS 上的数D7~D0 写入PC。当信号PCINC 为1 时,在T3 的上升沿,完成PC 加1。当PCADD 信号为1 时,PC 和IR 中的转移偏量(IR3~IR0)相加,在T3 的上升沿,将相加得到的和写入PC 程序计数器。

地址寄存器AR 由1 片GAL22V10(U58)组成,向双端口RAM 的左端口提供存储器地址AR7~AR0。当复位信号CLR#为0 时,地址寄存器复位,AR7~AR0 为00H。当信号LAR 为1 时,在T3 的上升沿,将数据总线DBUS 上的数D7~D0 写入AR。当信号ARINC 为1 时,在T3 的上升沿,完成AR 加1。

指令寄存器IR是1片74273(U47),用于保存指令。当信号LIR为1时,在T3的上升沿,将从双端口RAM右端口读出的指令INS7~INS0写入指令寄存器IR。

数据开关SD7~SD0用于设臵双端口RAM的地址和数据。当信号SBUS为1时,数SD7~SD0送往数据总线DBUS。

本实验中用到的信号归纳如下:

MBUS 当它为1时,将双端口RAM的左端口数据送到数据总线DBUS。

MEMW 当它为1时,在T2为1期间将数据总线DBUS上的D7~D0写入双端

口RAM 写入的存储器单元由AR7~AR0指定。

LIR 当它为1时,在T3的上升沿将从双端口RAM的右端口读出的指令

INS7~ INS0写入指令寄存器IR。读出的存储器单元由PC7~PC0

指定。

LPC 当它为1时,在T3的上升沿,将数据总线DBUS上的D7~D0写入

程序计数器PC。

PCINC 当它为1时,在T3的上升沿PC加1。

LAR 当它为1时,在T3的上升沿,将数据总线DBUS上的D7~D0写入

地址寄存器AR。

ARINC 当它为1时,在T3的上升沿,AR加1。

SBUS 当它为1时,数据开关SD7~SD0的数送数据总线DBUS。

AR7~AR0 双端口RAM左端口存储器地址。

PC7~PC0 双端口RAM右端口存储器地址。

INS7~INS0 从双端口RAM右端口读出的指令,本实验中作为数据使用。

D7~D0 数据总线DBUS上的数。

上述信号都有对应的指示灯。当指示灯灯亮时,表示对应的信号为1;当指示灯不亮时,对应的信号为0。实验过程中,对每一个实验步骤,都要记录上述信号(可以不纪录SETCTL)的值。另外μA5~μA0指示灯指示当前微地址。

五、实验任务

1.从存储器地址10H开始,通过左端口连续向双端口RAM中写入3个数:85H,60H,38H。在写的过程中,在右端口检测写的数据是否正确。

2.从存储器地址10H开始,连续从双端口RAM的左端口和右端口同时读出存储器的内容。

六、实验步骤

1.实验准备

将控制器转换开关拨到微程序位臵,将编程开关设臵为正常位臵。打开电源。

2.进行存储器读、写实验

⑴设臵存储器读、写实验模式

按复位按钮CLR,使TEC-8实验系统复位。指示灯μA5~μA0显示00H。将操作模式开关设臵为SWC=1、SWB=1、SWA=0,准备进入双端口存储器实验。

按一次QD按钮,进入存储器读、写实验。

⑵设置存储器地址

指示灯μA5~μA0显示0DH。在数据开关SD7~SD0上设臵地址10H。在数据总线DBUS 指示灯D7~D0上可以看到地址设臵的正确不正确,发现错误需及时改正。设臵地址正确后,按一次QD按钮,将SD7~SD0上的地址写入地址寄存器AR(左端口存储器地址)和程序计数器PC(右端口存储器地址),进入下一步。

⑶写入第1个数

指示灯μA5~μA0显示1AH。指示灯AR7~AR0(左端口地址)显示10H,指示灯PC7~PC0(右端口地址)显示10H。在数据开关SD7~SD0上设臵写入存储器的第1个数85H。按一次QD按钮,将数85H通过左端口写入由AR7~AR0指定的存储器单元10H。

⑷写入第2个数

指示灯μA5~μA0显示1BH。指示灯AR7~AR0(左端口地址)显示11H,指示灯PC7~PC0(右端口地址)显示10H。观测指示灯INS7~INS0的值,它是通过右端口读出的由右地址PC7~PC0指定的存储器单元10H的值。比较和通过左端口写入的数是否相同。在数据开关SD7~SD0上设臵写入存储器的第2个数60H。按一次QD按钮,将第2个数通过左端口写

入由AR7~AR0指定的存储器单元11H。

⑸写入第3个数

指示灯μA5~μA0显示1CH。指示灯AR7~AR0(左端口地址)显示12H,指示灯PC7~PC0(右端口地址)显示11H。观测指示灯INS7~INS0的值,它是通过右端口读出的由右地址PC7~PC0指定的存储器单元11H的值。比较和通过左端口写入的数是否相同。在数据开关SD7~SD0上设臵写入存储器的第3个数38H。按一次QD按钮,将第3个数通过左端口写入由AR7~AR0指定的存储器单元12H。

⑹重新设臵存储器地址

指示灯μA5~μA0显示1DH。指示灯AR7~AR0(左端口地址)显示13H,指示灯PC7~PC0(右端口地址)显示12H。观测指示灯INS7~INS0的值,它是通过右端口读出的由右地址PC7~PC0指定的存储器单元12H的值。比较和通过左端口写入的数是否相同。在数据开关SD7~SD0重新设臵存储器地址10H。按一次QD按钮,将SD7~SD0上的地址写入地址寄存器AR(左端口存储器地址)和程序计数器PC(右端口存储器地址),进入下一步。

⑺左、右两2个端口同时显示同一个存储器单元的内容。

指示灯μA5~μA0显示1FH。指示灯AR7~AR0(左端口地址)显示10H,指示灯PC7~PC0(右端口地址)显示10H。观测指示灯INS7~INS0的值,它是通过右端口读出的由右地址PC7~PC0指定的存储器单元10H的值。观测指示灯D7~D0的值,它是从左端口读出的由AR7~AR0指定的存储器单元10H的值。

按一次QD按钮,地址寄存器AR加1,程序计数器PC加1,在指示灯D7~D0和指示灯INS7~INS0上观测存储器的内容。继续按QD按钮,直到存储器地址AR7~AR0为12H为止。

七、实验要求

1.做好实验预习,掌握双端口存储器的使用方法和TEC-8模型计算机存储器部分的数据通路。

2.写出实验报告,内容是:⑴实验目的。⑵根据实验结果填写表2.1。

表2.1 双端口存储器实验结果表

八、实验心得

初步了解了双端口静态存储器的工作特性及其使用方法,了解了双端口存储器并行读写方式

计算机组成原理实验报告_存储系统设计实验

实验四存储系统设计实验 一、实验目的 本实训项目帮助大家理解计算机中重要部件—存储器,要求同学们掌握存储扩展的基本方法,能设计MIPS 寄存器堆、MIPS RAM 存储器。能够利用所学习的cache 的基本原理设计直接相联、全相联,组相联映射的硬件cache。 二、实验原理、内容与步骤 实验原理、实验内容参考: 1、汉字字库存储芯片扩展设计实验 1)设计原理 该实验本质上是8个16K×32b 的ROM 存储系统。现在需要把其中一个(1 号)16K×32b 的ROM 芯片用4个4K×32b 的芯片来替代,实际上就是存储器的 字扩展问题。a) 需要4 片4个4K×32b 芯片才可以扩展成16K×32b 的芯片。 b) 目标芯片16K个地址,地址线共14 条,备用芯片12 条地址线,高两位(分 线器分开)用作片选,可以接到2-4 译码器的输入端。c) 低12 位地址直接连 4K×32b 的ROM 芯片的地址线。4个芯片的32 位输出直接连到D1,因为同时 只有一个芯片工作,因此不会冲突。 芯片内数据如何分配: a) 16K×32b 的ROM 的内部各自存储16K个地址,每个地址里存放4个字节数 据。地址范围都一样:0x0000~0x3FFF。 b) 4个4K×32b 的ROM,地址范围分别是也都一样:0x000~0xFFF,每个共有 4K个地址,现在需要把16K×32b 的ROM 中的数据按照顺序每4个为一组分 为三组,分别放到4个4K×32b 的ROM 中去。HZK16_1 .txt 中的1~4096 个数据放到0 号4K 的ROM 中,4097~8192 个数据放到 1 号4K 的 ROM 中,8193~12288 个数据放到2 号4K 的ROM 中,12289~16384个 数据放到3 号4K 的ROM 中。 c) 注意实际给的16K 数据,倒数第二个4K(8193~12288 个数据)中部分 是0,最后4K(12289~16384 数据)全都是0。因此在ROM 4K_3 号后部分 都是0,ROM 4K_3 中都是0。 2)电路设计 地址线连接

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告 一、实验目的 本次实验的目的是通过实际操作,了解存储器的组成和工作原理,掌握存储器的读写操作。 二、实验原理 存储器是计算机中的重要组成部分,用于存储程序和数据。存储器按照存储介质的不同可以分为内存和外存,按照存储方式的不同可以分为随机存储器(RAM)和只读存储器(ROM)等。 本次实验使用的是随机存储器,随机存储器是一种易失性存储器,数据在断电后会丢失。随机存储器按照存储单元的位数可以分为8位、16位、32位等,按照存储单元的数量可以分为256×8、512×16、1024×32等。 随机存储器的读写操作是通过地址线和数据线来实现的。读操作时,CPU将要读取的地址通过地址线发送给存储器,存储器将该地址对应的数据通过数据线返回给CPU。写操作时,CPU将要写入的数据通过数据线发送给存储器,存储器将该数据写入到对应的地址中。 三、实验器材 1. 存储器芯片:AT24C02

2. 单片机:STC89C52 3. 电源、示波器、万用表等 四、实验步骤 1. 连接电路 将AT24C02存储器芯片和STC89C52单片机按照电路图连接好,连接好电源和示波器等设备。 2. 编写程序 编写程序,实现对AT24C02存储器的读写操作。程序中需要设置存储器的地址和数据,以及读写操作的指令。 3. 烧录程序 将编写好的程序通过编程器烧录到STC89C52单片机中。 4. 运行程序 将电源接通,运行程序,观察示波器上的信号波形,检查读写操作是否正确。 五、实验结果

经过实验,我们成功地实现了对AT24C02存储器的读写操作。通过示波器观察到了地址线和数据线的信号波形,证明了程序的正确性。 六、实验总结 通过本次实验,我们深入了解了存储器的组成和工作原理,掌握了存储器的读写操作。同时,我们也学会了如何编写程序并将程序烧录到单片机中。这些知识对于我们深入学习计算机组成原理和嵌入式系统开发都具有重要的意义。

计算机组成原理实验报告 存储器和总线实验

西华大学数学与计算机学院实验报告 课程名称:计算机组成原理年级:2011级实验成绩: 指导教师:祝昌宇姓名:蒋俊 实验名称:存储器和总线实验学号:312011*********实验日期:2013-12-15 一、目的 熟悉存储器和总线的硬件电路 二、实验原理 (1)存储器和总线的构成 1、总线由一片74LS245、一片74LS244组成,把整个系统分为内部总线和外部总线。二片74LS374锁存当前的数据、地址总线上的数据以供LED显示。(如图1) 图1 总线布局图 2、存储器采用静态RAM(1片6264) 3、存储器的控制电路由一片74LS32和74LS08组成。(如图2)

图2 存储器控制电路布局图 (2)存储器和总线的原理 1.总线的原理:由于本系统内使用8根地址线、8根数据线,所以使用一片74LS245作为数据总线,另一片74LS244作为地址总线(如图3)。总线把整个系统分为内部数据、地址总线和外部数据、地址总线,由于数据总线需要进行内外部数据的交换,所以由BUS信号来控制数据的流向,当BUS=1时数据由内到外,当BUS=0时数据由外到内。 图3 总线单元 2.由于本系统内使用8根地址线、8根数据线,所以6264的A8~A12接地,其实际容量为256个字节(如图4)。6264的数据、地址总线已经接在总线单元的外部总线上。存储器有3个控制信号:地址总线设置存储器地址,RM=0时,把存储器中的数据读出到总线上;当WM =0,并且EMCK有一个上升沿时,把外部总线上的数据写入存储器中。为了更方便地编辑内存中的数据,在实验机处于停机状态时,可由监控来编辑其中的数据。 图4 内存单元原理图 三、使用环境 计算机组成原理实验箱 四、实验步骤

计算机组成原理--实验报告

实验一寄存器实验 实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。 实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。 实验电路:寄存器的作用是用于保存数据的CPTH 用74HC574 来构成寄存器。74HC574 的功能如下: --

实验1:A,W 寄存器实验 原理图 寄存器A原理图 寄存器W 原理图 连接线表: --

-- 系统清零和手动状态设定:K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 在后面实验中实验模式为手动的操作方法不再详述. 将55H 写入A 寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据 55H 置控制信号为: 按住STEP 脉冲键,CK 由高变低,这时寄存器A 的黄色选择指示灯亮,表明选择A 寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据55H 被写入A 寄存器。 将66H 写入W 寄存器

二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据 66H 按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据66H 被写入W 寄存器。 注意观察: 1.数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。 2.WEN,AEN为高时,即使CK有上升沿,寄存器的数据也不会改变。 实验2:R0,R1,R2,R3 寄存器实验 连接线表 --

计算机组成原理实验报告

1. 寄存器 五、实验总结 按照实验要求进行连接和操作,对通用寄存器组进行了数据的写入和读出,两组数据完全对照,得到了预期效果,说明了存入数据的正确性,在整个过程中也对寄存器组的构成和硬件电路有了更深层次的理解。 2. 运算器 五、实验总结 基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的 3. 存储器 五、实验总结 按照实验要求连接器材设备元件,按照给定步骤进行实验操作。通过向静态RAM中写入数据并读出数据,在INPUT单元输入数并存入地址寄存器,再向相应的地址单元存入数,验证读出数据时,只需再INPUT单元输入想要读出单元的地址,再通过片选端CE读出存储单元的数据,其中We=0是控制写端,WE=1控制读,CE低电平有效。实验过程遇到一些问题,对实验容不是很熟,有待提高。

4. CPU与简单模型机设计实验 一、实验目的 (1) 掌握一个简单CPU的组成原理。 (2) 在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 (3) 为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念。 二、实验设备 PC机一台,TD-CMA实验系统一套。 三、实验原理 本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计算机。CPU 由运算器(ALU)、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR)、程序计数器(PC)和地址寄存器(AR)组成,如图5-1-1 所示。这个CPU 在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU 必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。 除了程序计数器(PC),其余部件在前面的实验中都已用到,在此不再讨论。系统的程序计数器(PC)和地址寄存器(AR)集成在一片CPLD 芯片中。CLR 连接至CON 单元的总清端CLR,按下CLR 按钮,将使PC 清零,LDPC 和T3 相与后作为计数器的计数时钟,当LOAD 为低时,计数时钟到来后将CPU总线上的数据打入PC。

计算机组成原理实验报告

实验一:脱机运算器实验 实验目的:了解AM2901运算器的功能与用法,2片AM2901的级连方式,深化运算器部件的组成、设计、控制与使用等知识。 实验仪器:TEC-2000实验仪 实验原理:脱机运算器实验,是让运算器从教学计算机整机中脱离出来,此时它的全部控制与操作均需通过两个12位的微型开关来完成,这就不能执行指令,只能通过开头、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。 实验内容:1、将教学机左下方的5个拨动开关置为1XX10(单步、8位、脱机);先按RESET 按键,再按START按键,进行初始化。 2、按下表所列操作在8位机上进行运算器脱机实验,结果如表所示。其中D1取为01H,D2取为10H;通过两个12位的红色微型开关向运算器提供控制倍,通过8位数据开关向运算器提供数据(高8位的数据开 结果分析:由结果可知,只要按AM2901芯片功能给出其相应的控制信号,即可完成相应的功能。另AM2901操作周期如下: A、B口数据锁存通用寄存器接收 即在下降沿时,A、B口数据锁存器锁存数据,在低电平时通用寄存器接收数据,因此在压START前,ALU输出为结果,压START后,产生高电平到低电平的变化,此时ALU输出的结果存入通用寄存器中,而ALU则输出操作再次被执行的结果,但该结果没有存入通用寄存器中,则下次操作时使用的寄存器值为存入值(表中表现为压START前值)。 实验结论:通过此项实验使我们了解了AM2901运算器的功能与用法,熟悉了2片AM2901的级连方式,以及深化运算器部件的组成、设计、控制与使用等知识,让我们加深了对AM2901运算器各项特性的认知程度。 实验二:控制器部件教学实验 实验目的:通过教学计算机中已经设计好并正常运行的几条典型指令的功能、格式和执行流程后,设计几条指令的功能、格式和执行流程,并在教学计算机上实现、调试正确。达到以下目的:

计算机组成原理实验报告

实验一:数字逻辑——交通灯系统设计子实验1: 7 段数码管驱动电路设计 (1)理解利用真值表的方式设计电路的原理; (2)利用Logisim 真值表自动生成电路的功能,设计一个 7 段数码管显示驱动。 二、实验方案设计 7 段数码管显示驱动的设计方案: (1)输入:4 位二进制 (2)输出:7 段数码管 7 个输出控制信号 (3)电路引脚: (4)实现功能:利用 7 段数码管显示 4 位二进制的 16 进制值 (5)设计方法: 由于该实验若直接进行硬件设计会比较复杂,而7 段数码管显示的真值表较容易掌握,所以我们选择由真值表自动生成电路的方法完成该实验。 先分析设计 7 段数码管显示驱动的真值表,再利用Logisim 中的“分析组合逻辑电路”功能,将真值表填入,自动生成电路。 (6)真值表的设计: 由于是 4输入 7输出,真值表共有 16 行。7输出对应 7个引脚,所以需要依次对照LED 灯的引脚顺序进行设计,如下图所示(注意LED 的引脚顺序): 三、实验步骤 (1)在实验平台下载实验框架文件RGLED.circ; (2)在Logisim 中打开RGLED.circ 文件,选择数码管驱动子电路;

(3)点击“工程”中的“分析组合逻辑电路”功能,先构建4输入和7输出,再在“真值表”中,将已设计好的真值表的所有数值仔细对照着填入表格中,确认无误后点击“生成电路”,自动生成的电路如下图所示: (4)将子电路封装为如下形式:

(5)进行电路测试: ·自动测试 在数码管驱动测试子电路中进行测试; ·平台评测 自动测试结果满足实验要求后,再利用记事本打开RGLED.circ 文件,将所有文字信息复制粘贴到Educoder 平台代码区域,点击评测按钮进行测试。 四、实验结果测试与分析 (1)自动测试的部分结果如下: (2)平台测试结果如下: 综上,本实验测试结果为通过,无故障显示。 本实验的关键点在于:在设计时需要格外注重LED 灯的引脚顺序,保证0-9 数字显示的正确性,设计出正确的真值表。

计算机组成实验报告

计算机组成实验报告 计算机组成实验报告 引言: 计算机组成实验是计算机科学与技术专业中重要的实践环节,通过实验可以加深对计算机硬件组成原理的理解,提高实践操作能力。本文将对计算机组成实验进行报告,包括实验目的、实验内容、实验过程和实验结果等方面的介绍。 一、实验目的 计算机组成实验的目的是通过实践操作,加深对计算机硬件组成原理的理解,包括计算机的基本组成部分、数据的存储和传输、指令的执行等方面。通过实验,可以提高学生的实践能力和解决问题的能力。 二、实验内容 本次计算机组成实验主要包括以下几个方面的内容: 1. 计算机硬件组成:了解计算机的基本组成部分,包括中央处理器(CPU)、内存、输入输出设备等。 2. 数据的存储和传输:学习计算机中数据的存储和传输方式,包括寄存器、内存、缓存等。 3. 指令的执行:掌握计算机中指令的执行过程,包括指令的获取、解码和执行等。 4. 性能评测:通过实验,了解计算机性能评测的方法和指标,包括运行时间、吞吐量等。 三、实验过程 在实验过程中,我们首先进行了计算机硬件组成的学习和了解。通过查阅相关

资料和参观实验室中的计算机设备,我们对计算机的基本组成有了初步的了解,包括CPU的结构、内存的类型和存储方式等。 接着,我们进行了数据的存储和传输实验。通过搭建实验平台,我们实现了数 据在寄存器、内存和缓存之间的传输,并观察了不同存储器之间的速度差异。 通过这个实验,我们深入理解了数据的存储和传输原理。 在指令的执行实验中,我们通过编写简单的汇编语言程序,实现了指令的获取、解码和执行过程。我们观察了不同指令的执行时间和资源消耗情况,并对指令 级并行和流水线等概念有了更深入的了解。 最后,我们进行了性能评测实验。通过运行不同的程序,我们测量了计算机的 运行时间和吞吐量,并对不同指标的评测结果进行了分析和比较。这个实验让 我们更加了解了计算机性能评测的方法和指标。 四、实验结果 通过实验,我们对计算机组成原理有了更深入的理解,并提高了实践操作能力。我们掌握了计算机硬件组成的基本知识,了解了数据的存储和传输方式,掌握 了指令的执行过程,并学会了计算机性能评测的方法。 实验结果表明,不同的硬件组成和指令执行方式会对计算机的性能产生影响。 通过合理的硬件设计和指令优化,可以提高计算机的运行速度和效率。同时, 我们也意识到计算机组成原理的深入理解对于解决实际问题和优化计算机性能 具有重要意义。 结论: 计算机组成实验是计算机科学与技术专业中重要的实践环节,通过实验可以加 深对计算机硬件组成原理的理解,提高实践操作能力。本次实验让我们对计算

计算机组成原理实验-数据通路实验

Guangzhou Colle-ge of South China University of Technology 计算机组成原理课程实验报告 9.5数据通路实验 姓名:曾国江______________________ 学号:____________________________ 系别:计算机工程学院 班级:网络工程1班 指导老师:_________________________ 完成时间:_________________________ 评语: 得分:

一、实验类型 本实验类型为验证型+分析型+设计型 二、实验目的 1•进一步熟悉计算机的数据通路 2.将双端口通用寄存器堆和双端口存储器模块连接,构成新的数据通路. 3.掌握数字逻辑电路中的一般规律,以及排除故障的一般原则和方法. 4.锻炼分析问题和解决问题的能力,在出现故障的情况下,独立分析故障现象,并排除故障• 三、实验设备 1、TEC-5实验系统一台 2、双踪示波器一台 3、逻辑测试笔一支 、实验电路 DBUS7 DBUSO 左端口 > 1JWK273) H3F2HTQ Cn*4 Al JU <181 CnN 戍蜩口通用寄"器那RF CispLSI10165 -一耐'1 2 ARM — T2 —- 双堵口存储器 IDT7132

数据通路实验电路图如图9.7 所示。它是将双端口存储器模块和双端口通用寄存器堆模块连接在一起形成的。存储器的指令端口(右端口)不参与本次实验。通用寄存器堆连接运算器模块,本次实验涉及其中的DRl 。由于双端口存储器是三态输出,因而可以直接连接到DBUS 上。此外,DBUS 还连接着通用寄存器堆。这样,写入存储器的数据由通用寄存器提供,从RAM 中读出的数据也可以放到通用寄存器堆中保存。本实验的各模块在以前的实验中都已介绍,请参阅前面相关章节。注意实验中的控制信号与模拟它们的开关K0~K15 的连接。 五、实验任务 1、将实验电路与控制台的有关信号进行连接。 2、用8位数据开关SW7-SW0向RF中的四个通用寄存器分别置入以下数据:RO=OFH, R1=0F0H, R2=55H,R3=0AAH。 3、用8位数据开关向AR送入地址OFH,然后将R0中的数据OFH写入双端口存储器中. 用同样的方法依次将R1,R2,R3中的数据分别置入RAM的0F0H,55H,0AAH单元. 4、分别将RAM 的0AAH 单元数据写入R0,55H 单元数据写入R1,0F0H 单元数据写入R2,0FH单元数据写入R3然后将R0-R3中的数据读出,验证数据的正确性,并记录数据• 六、实验要求 1、做好实验预习,掌握实验电路的数据通路特点和通用寄存器堆的功能特性和使用方法。 2、写出实验报告,内容是: (1)实验目的。 (2)写出详细的实验步骤、记录实验数据及校验结果。 (3)其他值得讨论的问题。

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用。 二、实验要求 掌握通用寄存器R3~R0的读写操作。 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR 为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效。准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2-3-3 通用寄存器数据通路

四、实验内容 1.实验连线 K23~K0置“1”,灭M23~M0控位显示灯。然后按下表要求“搭接”部件控制电路。 2.寄存器的读写操作 ①目的通路 当RWR=0时,由DI、OP编码产生目的寄存器地址,详见下表。 通用寄存器“手动/搭接”目的编码 ②通用寄存器的写入 通过“I/O输入输出单元”向R0、R1寄存器分别置数11h、22h,操作步骤如下: 通过“I/O输入输出单元”向R2、R3寄存器分别置数33h、44h,操作步骤如下: ③源通路 当X2~X0=001时,由SI、XP编码产生源寄存器,详见下表。 通用寄存器“手动/搭接”源编码

④通用寄存器的读出 关闭写使能,令K18(RWR)=1,按下流程分别读R0、R1、R2、R3。 五、实验心得 通过这个实验让我清晰的了解了通用寄存器的构成以及通用寄存器是如何运用的,并且熟悉了通用寄存器的数据通路,而且还深刻的掌握了通用寄存器R3~R0的读写操作。

相关文档
最新文档