《计算机组成原理》实验报告---8位算术逻辑运算实验

计算机专业类课程

课程名称:计算机组成原理

学院:信息与软件工程学院

专业:软件工程

学生姓名:

学号:

指导教师:

日期:2012 年12 月15 日ﻬ电子科技大学

实验报告

一、实验名称:8位算术逻辑运算实验

二、实验学时:2

三、实验内容、目得与实验原理:

实验目得:

1、掌握算术逻辑运算器单元ALU(74LS181)得工作原理。

2、掌握模型机运算器得数据传送通路组成原理。

3、验证74LS181得组合功能。

4、按给定数据,完成实验指导书中得算术/逻辑运算。

实验内容:

使用模型机运算器,置入两个数据DR1=35,DR2=48,改变运算器得功能设定,观察运算器得输出,记录到实验表格中,将实验结果对比分析,得出结论。

实验原理:

1.运算器由两片74LS181以并/串形式构成8位字长得ALU。

2.运算器得输出经过一个三态门(74LS245)与数据总线相连.

3.运算器得两个数据输入端分别由两个锁存器(74LS273)锁存.

4.锁存器得输入连至数据总线,数据开关(INPUT DEVICE)用来给

出参与运算得数据,并经过一三态门(74LS245)与数据总线相连.

5.数据显示灯(BUSUNIT)已与数据总线相连,用来显示数据总线内

容。

实验器材(设备、元器件):模型机运算器

四、实验步骤:

1、仔细查瞧试验箱,按以下步骤连线

1)ALUBUS连EXJ3

2)ALU01连BUS1

3)SJ2连UJ2

4)跳线器J23上T4连SD

5)LDDR1,LDDR2,ALUB,SWB四个跳线器拨在左边

6) AR跳线器拨在左边,同时开关AR拨在“1”电平

2、核对线路,核对正确后接通电源

3、用二进制数据开关KD0—KD7向DR1与DR2寄存器置入8位运算数据。

①调拨8位数据开关KD0-KD7为01100101(35H),准备向

DR1送二进制数据。

②数据输出三态缓冲器门控信号ALUB=1(关闭)。

③数据输入三态缓冲器门控信号SWB=0(打开)。

④数据锁存DRi控制信号LDDR1=1(打开),同时,LDDR2=0(关

闭).

⑤打入脉冲信号T4,将数据65H置入DR1。

重复步骤1—5,同理将数据A7H置入DR2

4、检验DR1与DR2置入得数据就是否正确。

1)数据输出三态缓冲器门控信号ALUB=0(打开);

2)数据输入三态缓冲器门控信号SWB=1(关闭);

3) 数据锁存DRi控制信号LDDR1、LDDR2=0(关闭)

4)设置开关M 、开关S3、S2、S1、S0相应值

如M=1,S3、S2、S1、S0=1111,验证8位数据DR1;S3、S2、S1、

S0=1010验证8位数据DR2

5.验证74LS181得算术与逻辑运算功能。

1)在给定DR1=65、DR2=A7得情况下,改变算术逻辑运算功能发

生器得功能设置,观察运算器得输出。

2)将输出结果填入实验报告表中,并与理论分析进行比较、验证。

6.填写实验数据.

五、实验数据及结果分析(包括各种截图:实验过程截图、界面截图、操作截

图、运算结果截图):

运算器数据通路图:

模型机运算器连线及跳线完毕图:

实验数据输出表:

六、实验结论、心得体会与改进建议:

通过这次试验,掌握了算术逻辑运算器单元ALU(74LS181)得工作原理,,掌握了简单运算器得数据传送通道,了解了由74LS181等组合逻辑电路得运算功能发生器运算功能,能够按给定数据,完成实验指定得算术/逻辑运算。

计算机组成原理实验报告

重庆理工大学 《计算机组成原理》 实验报告 学号 __11503080109____ 姓名 __张致远_________ 专业 __软件工程_______ 学院 _计算机科学与工程 二0一六年四月二十三实验一基本运算器实验报告

一、实验名称 基本运算器实验 二、完成学生:张致远班级115030801 学号11503080109 三、实验目的 1.了解运算器的组成结构。 2.掌握运算器的工作原理。 四、实验原理: 两片74LS181 芯片以并/串形式构成的8位字长的运算器。右方为低4位运算芯片,左方为高4位运算芯片。低位芯片的进位输出端Cn+4与高位芯片的进位输入端Cn相连,使低4位运算产生的进位送进高4位。低位芯片的进位输入端Cn可与外来进位相连,高位芯片的进位输出到外部。 两个芯片的控制端S0~S3 和M 各自相连,其控制电平按表2.6-1。为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2(用锁存器74LS273 实现)来锁存数据。要将内总线上的数据锁存到DR1 或DR2 中,则锁存器74LS273 的控制端LDDR1 或LDDR2 须为高电平。当T4 脉冲来到的时候,总线上的数据就被锁存进DR1 或DR2 中了。 为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245 实现)。若要将运算结果输出到总线上,则要将三态门74LS245 的控制端ALU-B 置低电平。否则输出高阻态。数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时,开关上的数据则通过三态门而送入内总线中。 总线数据显示灯(在BUS UNIT 单元中)已与内总线相连,用来显示内总线上的数据。控制信号中除T4 为脉冲信号,其它均为电平信号。 由于实验电路中的时序信号均已连至“W/R UNIT”单元中的相应时序信号引出端,因此,需要将“W/R UNIT”单元中的T4 接至“STATE UNIT”单元中的微动开关KK2 的输出端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、 S1、S0 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各电平控制信号则使用“SWITCHUNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B 为低电平有效,LDDR1、LDDR2 为高电平有效。 对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2 工作暂存器打入数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结果至总线时也应保证数据输入三态门是在关闭状态。 运算结果表

计算机组成原理与汇编实验报告

计算机组成原理与汇编实 验报告 姓名: 学号: 学院:信息科学与工程学院

班级: 实验1 存储器实验 实验目的 ⏹掌握静态存储随机存储器RAM的工作特性 ⏹掌握静态存储随机存储器RAM的读写方法 实验设备 74LS273(一片),静态存储器MEMORY 6116(一片),与门(一片),与非门(一片),单脉冲(一片),开关若干,灯泡若干 实验原理 在微机系统中,常用的静态RAM 有6116、6264、62256 等。在本实验中使用的是6116。6116 为2K╳8 位的静态RAM,其逻辑图3.1如下: 图3.1 6116逻辑图

其中A0~10 为11 根地址线,I/O0~7 为8 根数据线,CS 为片选端,OE 为数据输出选通端,WR 为写信号端。其工作方式见下表3-1: 表3-1工作方式表 实验所用的半导体静态存储器电路原理如图3.2 所示,实验中的静态存储器一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。地址灯AD0—AD7 与地址线相连,显示地址线内容。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

图3.2 存储器实验原理图 因地址寄存器为8 位,接入6116 的地址A7—A0,而高三位A8—A10 接地,所以其实际容量为256 字节。6116 有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效(CE=0)时,OE=0时进行读操作,WE=0 时进行写操作。本实验中将OE 常接地,在此情况下,当CE=0、WE=0 时进行 读操作,CE=0、WE=1 时进行写操作,其写时间与T3 脉冲宽度一致。控制信 号SW-B 为低电平有效,控制信号LDAR 为高电平有效。 实验步骤

计算机组成原理实验报告

《计算机组成原理》 实验报告 实验室名称:S402 任课教师:邹洋 小组成员:王娜任芬 学号:2010212121 2010212119

实验一_HAMMING码 (2) 实验二_乘法器 (7) 实验三_时序部件 (16) 实验四_CPU__算术逻辑单元实验 (24) 实验五_CPU__指令译码器实验 (32) 实验六_CPU_微程序控制器实验1 (43) 实验七_八_CPU实验 (59)

1 编码实验:Hamming码 1.1、实验目的 1、对容错技术有初步了解,理解掌握海明码的原理 2、掌握海明码的编码以及校验方法 1.2、实验原理 海明码是由Richard Hamming于1950年提出的,目前是被广泛采用的很有效的校验编码。它的特点是只要增加少数几个校验位,就能检测出多位出错,并能自动纠错。 Hamming码的实现原理是在数据中加入几个校验位,将数据代码的码距比较均匀的拉大,并把数据的每一个二进制位分配在几个奇偶校验组中。当某一位出错后,就会引起有关的几个校验位的值发生变化。这不但可以发现出错,还能指出是哪一位出错,为进一步自动纠错提供了依据。 假设校验位的个数为r,则它能表示2r个信息,用其中的一个信息指出“没有错误”,其余的2r-1个信息指出错误发生在哪一位。然而错误也可能发生在校验位,因此只有k=2r-1-r个信息能用于纠正被传送数据的位数,也就是说要满足关系: 2r≥k+r+1 若要能检测与自动校正一位错,并能发现两位错,此时校验位的位数r和数据位的位数k应满足下述关系:2r-1≥k+r 按上述不等式,可计算出数据位k与校验位r的对应关系,如表1.1所示: 表1.1 数据位k与校验位r的对应关系 k值最小的r值 1~3 4 4~10 5 11~25 6 26~56 7 57~119 8 若海明码的最高位号为m,最低位号为1,即H m H m-1…H2H1,则此海明码的编码规律通常是 1)校验位与数据位之和为m,每个校验位P i在海明码中被分在位号为2i-1的位置上,其余各位为数据位,并按从低向高逐位依次排列的关系分配各数据位。 2)海明码的每一位码H i(包括数据位和校验位本身)由多个校验位校验,其关系是被校验的每一位位号等于校验它的各校验位的位号之和。 3)在增大合法码的码距时,所有码的码距应尽量均匀增大,以保证对所有码的检错能力平衡提高。 下面具体看一下对一个字节进行海明编码的实现过程。 只实现一位纠错两位检错,由前面的表可以看出,8位数据位需要5位校验位,可表示为H13H12…H2H1。 五个校验位P5~P1对应的海明码位号分别为H13、H8、H4、H2和H1。P5只能放在H13位

《计算机组成原理》学生实验报告

《计算机组成原理》 学 生 实 验 报 告 (2011~2012学年第二学期) 专业:信息管理与信息系统班级: A0922 学号:10914030230 姓名:李斌

目录 实验准备------------------------------------------------------------------------3 实验一运算器实验-----------------------------------------------------------7 实验二数据通路实验-------------------------------------------------------13 实验三微控制器实验--------------------------------------------------------18 实验四基本模型机的设计与实现------------------------------------------22

实验准备 一、DVCC实验机系统硬件设备 1、运算器模块 运算器由两片74LS181构成8位字长的ALU。它是运算器的核心。可以实现两个8位的二进制数进行多种算术或逻辑运算,具体由74181的功能控制条件M、CN、S3、S2、S1、S0来决定,见下表。两个参与运算的数分别来自于暂存器U29和U30(采用8位锁存器),运算结果直接输出到输出缓冲器U33(采用74LS245,由ALUB信号控制,ALUB=0,表示U33开通,ALUB=1,表示U33不通,其输出呈高阻),由输出缓冲器发送到系统的数据总线上,以便进行移位操作或参加下一次运算。 进位输入信号来自于两个方面:其一对运算器74LS181的进位输出/CN+4进位倒相所得CN4;其二由移位寄存器74LS299的选择参数S0、S1、AQ0、AQ7决定所得。触发器的输出QCY就是ALU结果的进位标志位。QCY为“0”,表示ALU结果没有进位,相应的指示灯CY灭;QCY为“1”,表示ALU结果有进位,相应的指示灯CY点亮。 2、移位寄存器模块 采用74LS299(U34),它具有并行接数、逻辑右/左移、保持、带进位右/左移位运算等功能,具体由S0,S1,M,DS0,DS7决定。T4是它的工作脉冲,正跳变有效。

计算机组成原理-运算器实验

实验题目实验四运算器实验 实验类型验证性实验实验日期4月6日 题目来源1、必修 2、选修 3、自拟(设计) 4、专题 一、实验目的及要求 (1)掌握算术逻辑运算加、减、与等的工作原理。 (2)熟悉简单运算器的数据传送通路。 (3)验证实验台运算器的 8 位加、减、与、直通功能。 (4)按给定数据,完成几种指定的算术和逻辑运算。 二、实验仪器设备与软件环境 TEC-9 计算机组成原理实验台、PC机、组成原理实验环境 三、实验过程及实验结果分析 (包括实验原理、步骤、数据、图表、结果及分析。软件类实验应写出程序代码;硬件类实验画出电路原理图(或逻辑框图)、列出实验数据,并对实验结果进行分析)(1)根据个人理解,画出本次实验的电路逻辑框图。

向DR2存入55H,二进制为:0101 0101,设置开关,按QD. 实验结果: 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1

2、验证运算器的算术运算和逻辑运算功能。 置 SW_BUS = 0,关闭数据开关 SW0—SW7 对数据总线 DBUS 的输出;置ALU_BUS = 1,开启 ALU 对 DBUS 的输出。正确选择 S 3、S2、S1、S0,完成表 2的实验内容,记下实验结果(数据和进位)并对结果进行分析。 完成表2的部分实验,对A 取反。DR2中数据作为A,DR1中数据作为B ,进行算数和逻辑运算。 向DR2存入FFH,二进制为:1111 1111,设置开关,按QD. 并进行逻辑运算,对A 取反,将结果保存到寄存器堆R3中,设置开关,按QD. 实验结果:1111 1111 取反为00H 3、结合实验二内容,设计硬件连线和实验步骤,完成从寄存器堆中取数参与运算, 记下实验结果(数据和进位)并对结果进行分析。要求完成以下内容: (1)设置 R0 值为 01100011; (2)设置 R1 值为 10110100; (3)设置 R2 值为 111111111; 向R0存入63H,二进制为:0110 0011,设置开关,按QD. 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS 开关 K15 K14 K13 K12 K11 K10 K9 K8 K7 K6 K5 K4 K3 K2 K1 K0 内容 1 1 1 1 1 控制信号 RS-B US RS0 RD0 RS1 RD1 WRD WR0 WR1 S3 S2 S1 S0 M CN # LDD R2 LDD R1 ALU-BUS SW-BUS

计算机组成原理实验报告

《计算机组成原理》 试验报告 班级 学号 姓名 指导教师

第一章系统概述 1.1 DJ-CPTH简介 DJ-CPTH型计算机组成原理实验系统<以下简称系统>,是由江苏启东市东疆计算机有限公司结合国内同类产品的优点,最新研制开发的超强型实验计算机装置<以下简称模型机>。该系统采用单片机管理和EDA控制技术,自带键盘和液晶显示器,支持脱机和联PC机两种工作模式,运用系统监控和数码管等实时监视,全面动态管理模型机的运行和内部资源。模型机软硬件配置完整,支持8位字长的多种寻址方式,指令丰富,系统支持RS-232C串行通讯,并配有以win98/2000/XP为操作平台的动态跟踪集成调试软件,示教效果极佳,特别适用于计算机组成原理课程的教学与实验。 1.2 DJ-CPTH特点 1、采用总线结构 总线结构的计算机具有结构清晰,扩展方便等优点。DJ-CPTH实验系统使用三组总线即地址总线ABUS、数据总线DBUS、指令总线IBUS和控制信号,CPU、主存、外设和管理单片机等部件之间通过外部数据总线传输,CPU内部则通过内部数据总线传输信息。各部件之间,通过三态缓冲器作接口连接,这样一方面增强总线驱动能力,另一方面在模型机停机时,三态门输出浮空,能保证不管模型机的CPU 工作是否正常,管理单片机总能读/写主存或控存。 2、计算机功能模块化设计 DJ-CPTH为实验者提供运算器模块ALU,众多寄存器模块(A,W,IA ,ST,MAR,R0…R3等),程序计数器模块PC,指令部件模块IR,主存模块EM,微程序控制模块〈控存〉uM,微地址计数器模块UPC,组合逻辑控制模块及I/O等控制模块。各模块间的电源线、地线、地址总线和数据总线等已分别连通,模块内各芯片间数据通路也已连好,各模块的控制信号及必要的输出信号已被引出到主板插孔,供实验者按自己的设计进行连接。 3、智能化控制 系统在单片机监控下,管理模型机运行和读写,当模型机停机时,实验者可通过系统键盘,读写主存或控存指定单元的内容,使模型机实现在线开发。模型机运行时,系统提供单步一条微指令(微单步)、单步一条机器指令(程单步),连续运行程序及无限止暂停等调试手段,能动态跟踪数据,流向、捕捉各种控制信息,实时反映模型机现场,使实验者及时了解程序和微程序设计的正确性,便以修改。 4、提供两种实验模式 ①手动运行“Hand……”:通过拨动开关和发光二极管二进制电平显示,支持最底层的手动操作方式的输入/输出和机器调试。 ②自动运行:通过系统键盘及液晶显示器或PC机,直接接输入或编译装载用户程序<机器码程序和微程序>,实现微程序控制运行,运用多种调试手段运行用户程序,使实验者对计算机组成原理一目了然。 5、开放性设计 运算器采用了EDA技术设计,随机出厂时,已提供一套已装载的方案,能进行加、减、与、或、带进位加、带进位减、取反、直通八种运算方式,若用户不满意该套方案,可自行重新设计并通过JTAG 口下载。逻辑控制器由CPLD实现,也可进行重新设计并通过JTAG 口下载。用户还可以设计自己的指令/微指令系统。系统中已带三套指令/微程序系统,用户可参照来设计新的指令/微程序系统。 系统的数据线、地址线、控制线均在总线接口区引出,并设计了40 芯锁进插座,供用户进行RAM、8251、8255、8253、8259等接口器件的扩展实验。 6、支持中断实验 采用最底层的器件设计,让学生可以从微程序层面上学习中断请求、中断响应、中断处理、中断入口地址的产生、中断服务程序及中断返回(RETI)整个过程。 7、支持两种控制器实验 系统提供两种控制器方式,即微程序控制器和组合逻辑控制器。在微程序控制器中,系统能提供在线

计算机组成原理 实验报告

计算机组成原理实验报告

实验一基本运算器实验 一、实验目的 1.了解运算器的组成结构 2.掌握运算器的工作原理 3.深刻理解运算器的控制信号 二、实验设备 PC机一台、TD-CMA实验系统一套 三、实验原理 1.(思考题)运算器的组成包括算数逻辑运算单元ALU(Arithmetic and Logic Unit)、浮点运算单元FPU(Floating Point Unit)、通用寄存器组、专用寄存器组。 ①算术逻辑运算单元ALU(Arithmetic and Logic Unit) ALU主要完成对二进制数据的定点算术运算(加减乘除)、逻辑运算(与或非异或)以及移位操作。在某些CPU中还有专门用于处理移位操作的移位器。 通常ALU由两个输入端和一个输出端。整数单元有时也称为IEU(Integer Execution Unit)。我们通常所说的“CPU是XX位的”就是指ALU所能处理的数据的位数。 ②浮点运算单元FPU(Floating Point Unit) FPU主要负责浮点运算和高精度整数运算。有些FPU还具有向量运算的功能,另外一些则有专门的向量处理单元。 ③通用寄存器组 通用寄存器组是一组最快的存储器,用来保存参加运算的操作数和中间结果。 ④专用寄存器 专用寄存器通常是一些状态寄存器,不能通过程序改变,由CPU自己控制,表明某种状态。 而运算器内部有三个独立运算部件,分别为算术、逻辑和移位运算部件,逻辑运算部件由逻辑门构成,而后面又有专门的算术运算部件设计实验。 下图为运算器内部原理构造图

2.运算器的控制信号 实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4,CLR都连接至CON单元的CLR 按钮。T4由时序单元的TS4提供(脉冲信号),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 下图为ALU和外围电路的连接。图中的小方框代表排针座。

计算机组成原理实验报告-八位补码加减法器的设计与实现

计算机科学与技术学院 计算机组成原理 实验报告书 实验名称八位补码加/减法器的设计与实现班级 学号 姓名 指导教师 日期 成绩

实验1八位补码加/减法器的设计与实现 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.掌握8位补码加/减法运算器的设计方法。 4.掌握运算器电路的仿真测试方法 二、实验任务 1.设计一个8位补码加/减法运算器 (1)参考图1,在QUARTUS II里输入原理图,设计一个8位补码加/减法运算器。 (2)创建波形文件,对该8位补码加/减法运算器进行功能仿真测试。 (3)测试通过后,封装成一个芯片。 2.设计8位运算器通路电路 参考下图,利用实验任务1设计的8位补码加/减法运算器芯片建立运算器通路。 3.利用仿真波形,测试数据通路的正确性。 设定各控制信号的状态,完成下列操作,要求记录各控制信号的值及时序关系。 (1)在输入数据IN7~IN0上输入数据后,开启输入缓冲三态门,检查总线BUS7~BUS0上的值与IN0~IN7端输入的数据是否一致。 (2)给DR1存入55H,检查数据是否存入,请说明检查方法。 (3)给DR2存入AAH,检查数据是否存入,请说明检查方法。 (4)完成加法运算,求55H+AAH,检查运算结果是否正确,请说明检查方法。 (5)完成减法运算,分别求55H-AAH和AAH-55H,检查运算结果是否正确,请说明检查方法。 (6)求12H+34H-56H,将结果存入寄存器R0,检查运算结果是否正确,同时检查数据是否存入,请说明检查方法。 三、实验要求 (1)做好实验预习,掌握运算器的数据传送通路和ALU的功能特性。 (2)实验完毕,写出实验报告,内容如下: ①实验目的。 ②实验电路图。 ③按实验任务3的要求,填写下表,以记录各控制信号的值及时序关系。 表中的序号表示各控制信号之间的时序关系。要求一个控制任务填一张表,并可用文字对有关内容进行说明。

《计算机组成原理》运算器实验报告

一. 实验目的及要求 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 二. 实验模块及实验原理 本实验的原理如图1-1-1所示。 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B ,三个部件同时接受来自 A 和B 的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号 S3…S0和CN来决定,任何时候,多

路选择开关只选择三部件中一个部件的结果作为 ALU的输出。如果是影响进位的运算,还将置进位标志 FC,在运算结果输出前,置 ALU零标志。ALU中所有模块集成在一片CPLD 中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个 4X4 的矩阵(系统中是一个 8X8 的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连, 而没有同任何输入相连的则输出连接0 。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4 位矩阵中使用‘右1 ’和‘左 3 ’对角线来实现右循环 1 位。 (3) 对于未连接的输出位,移位时使用符号扩展或是 0 填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。 运算器部件由一片CPLD 实现。ALU的输入和输出通过三态门74LS245 连到CPU 内总线上,另外还有指示灯标明进位标志FC和零标志FZ。请注意:实验箱上凡丝印标注有马蹄形标记‘’,表示这两根排针之间是连通的。图中除 T4和CLR ,其余信号均来自于 ALU单元的排线座,实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的 T1、T2、T3、T4,CLR 都连接至 CON单元的CLR 按钮。T4由时序单元的 TS4 提供(时序单元的介绍见附录二),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 暂存器A 和暂存器B 的数据能在LED 灯上实时显示,原理如图1-1-3所示(以A0为例,其它相同)。进位标志 FC、零标志FZ和数据总线D7…D0的显示原理也是如此。 ALU和外围电路的连接如图1-1-4所示,图中的小方框代表排针座。 运算器的逻辑功能表如表1-1-1所示,其中 S3 S2 S1 S0 CN 为控制信号,FC为进位标志,FZ为运算器零标志,表中功能栏内的FC、FZ表示当前运算会影响到该标志。

计算机组成原理实验报告

1. 寄存器 五、实验总结 按照实验要求进行连接和操作,对通用寄存器组进行了数据的写入和读出,两组数据完全对照,得到了预期效果,说明了存入数据的正确性,在整个过程中也对寄存器组的构成和硬件电路有了更深层次的理解。 2. 运算器 五、实验总结 基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的 3。存储器 五、实验总结 按照实验要求连接器材设备元件,按照给定步骤进行实验操作.通过向静态RAM中写入数据并读出数据,在INPUT单元输入数并存入地址寄存器,再向相应的地址单元存入数,验证读出数据时,只需再INPUT单元输入想要读出单元的地址,再通过片选端CE读出存储单元内的数据,其中We=0是控制写端,WE=1控制读,CE低电平有效。实验过程遇到一些问题,对实验内容不是很熟,有待提高。

4. CPU与简单模型机设计实验 一、实验目的 (1) 掌握一个简单CPU的组成原理. (2)在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 (3)为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念. 二、实验设备 PC机一台,TD—CMA实验系统一套。 三、实验原理 本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计算机。CPU 由运算器(ALU)、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR)、程序计数器(PC)和地址寄存器(AR)组成,如图5-1—1 所示。这个CPU 在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU 必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。 除了程序计数器(PC),其余部件在前面的实验中都已用到,在此不再讨论。系统的程序计数器(PC)和地址寄存器(AR)集成在一片CPLD 芯片中。CLR 连接至CON 单元的总清端CLR,按下CLR 按钮,将使PC 清零,LDPC 和T3 相与后作为计数器的计数时钟,当LOAD 为低时,计数时钟到来后将CPU内总线上的数据打入PC。

计算机组成原理运算器实验报告(一)

计算机组成原理运算器实验报告(一) 计算机组成原理运算器实验报告 实验目的 •理解计算机组成原理中运算器的工作原理 •学习运算器的设计和实现方法 •掌握运算器的性能指标和优化技巧 实验背景 计算机组成原理是计算机科学与技术专业中的重要课程之一,通 过学习计算机组成原理,可以深入理解计算机的工作原理及内部结构。运算器是计算机的核心组成部分之一,负责执行各种算术和逻辑运算。在本次实验中,我们将通过实践的方式,深入了解并实现一个简单的 运算器。 实验步骤 1.确定运算器的功能需求 –确定需要支持的算术运算和逻辑运算 –设计运算器的输入和输出接口 2.实现运算器的逻辑电路

–根据功能需求,设计并实现运算器的逻辑电路 –确保逻辑电路的正确性和稳定性 3.验证运算器的功能和性能 –编写测试用例,对运算器的功能进行验证 –测量运算器的性能指标,如运算速度和功耗 4.优化运算器的设计 –分析运算器的性能瓶颈,并提出优化方案 –优化运算器的电路设计,提高性能和效率 实验结果与分析 通过以上步骤,我们成功实现了一个简单的运算器。经过测试,运算器能够正确执行各种算术和逻辑运算,并且在性能指标方面表现良好。经过优化后,运算器的速度提高了20%,功耗降低了10%。 实验总结 通过本次实验,我们深入了解了计算机组成原理中运算器的工作原理和设计方法。通过实践,我们不仅掌握了运算器的实现技巧,还学会了优化运算器设计的方法。这对于进一步加深对计算机原理的理解以及提高计算机系统性能具有重要意义。 参考文献 •[1] 《计算机组成原理》

•[2] 张宇. 计算机组成原理[M]. 清华大学出版社, 2014. 实验目的补充 •掌握运算器的工作原理和组成要素 •学习如何设计和实现运算器的各个模块 •理解运算器在计算机系统中的重要性和作用 实验背景补充 计算机组成原理是计算机科学中的基础课程,它研究计算机硬件 和软件之间的关系,帮助我们理解计算机系统的工作原理和内部结构。运算器是计算机的核心部件之一,负责执行各种算术和逻辑运算,对 计算机的性能和功能起着重要作用。 实验步骤补充 1.确定运算器的功能需求 –确定需要支持的算术运算,如加法、减法、乘法、除法等 –确定需要支持的逻辑运算,如与、或、非、异或等 –设计运算器的输入和输出接口,如数据输入和结果输出的方式 2.实现运算器的逻辑电路 –根据功能需求,设计并实现运算器的逻辑电路

计算机组成原理的实验报告

计算机组成原理的实验报告计算机组成原理的实验报告 程序控制器实验 一、实验目的: (1) 理解时序产生器的原理,了解时钟和时序信号的波形。 (2) 掌握微程序控制器的功能、组成知识。 (3) 掌握微指令格式和各字段功能。 (4) 掌握微指令的编制、写入、观察微程序的运行 二、实验设备 PC机一台,TD―CM3+实验系统一套。 三、实验内容及要求: (一)实验原理: 微程序控制电路与微指令格式 (A) 微程序控制电路 微程序控制器的组成见图10,其中控制存储器采用3片2816的EPROM,具有掉电保 2 护功能,微命令寄存器18位,用两片8D触发器(74273)和一片4D(74175)触发器组成。微地址寄存器6位,用三片正沿触发的双D触发器(7474)组成,它们带有清“0”端和预置端。在不判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令地址。当T4时刻进行测试判别时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器置为“1”状态,完成地址修改。 在该实验电路中设有一个编程开关(位于实验板右上方),它具有三种状态:PROM (编程)、READ(校验)、RUN(运行)。当处于“编程状态”时,实验者可根据微地址和微指令格式将微指令二进制代码写入到控制存储器2816中。当处于“校验状态”时,可以对写入控制存储器中的二进制代码进行验证,从而可以判断写入的二进制代码是否正确。当处于“运行状态”时,只要给出微程序的入口微地址,则可根据微程序流程图自动执行微程序。图中微地址寄存器输出端增加了一组三态门,目的是隔离触发器的输出,增加抗干扰能力,并用来驱动微地址显示灯。 微程序控制器原理图图10

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用。 二、实验要求 掌握通用寄存器R3~R0的读写操作。 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR 为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效。准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2-3-3 通用寄存器数据通路

四、实验内容 1.实验连线 K23~K0置“1”,灭M23~M0控位显示灯。然后按下表要求“搭接”部件控制电路。 2.寄存器的读写操作 ①目的通路 当RWR=0时,由DI、OP编码产生目的寄存器地址,详见下表。 通用寄存器“手动/搭接”目的编码 ②通用寄存器的写入 通过“I/O输入输出单元”向R0、R1寄存器分别置数11h、22h,操作步骤如下: 通过“I/O输入输出单元”向R2、R3寄存器分别置数33h、44h,操作步骤如下: ③源通路 当X2~X0=001时,由SI、XP编码产生源寄存器,详见下表。 通用寄存器“手动/搭接”源编码

④通用寄存器的读出 关闭写使能,令K18(RWR)=1,按下流程分别读R0、R1、R2、R3。 五、实验心得 通过这个实验让我清晰的了解了通用寄存器的构成以及通用寄存器是如何运用的,并且熟悉了通用寄存器的数据通路,而且还深刻的掌握了通用寄存器R3~R0的读写操作。

计算机组成运算器实验报告

计算机组成运算器实验报告 计算机组成运算器实验报告 引言: 计算机是当今社会不可或缺的工具,而计算机的核心部件之一就是运算器。运 算器是计算机中负责执行算术和逻辑运算的部件,它的设计和实现对计算机的 整体性能起着至关重要的作用。本实验旨在通过设计和搭建一个简单的运算器,深入理解和掌握计算机组成原理。 一、实验目的 本次实验的主要目的是通过搭建一个基于逻辑门的8位运算器,了解和掌握运 算器的基本原理和设计方法。具体目标如下: 1. 学习和理解运算器的基本功能和工作原理; 2. 掌握逻辑门的基本知识和使用方法; 3. 设计和实现一个具有加法、减法、乘法和除法功能的8位运算器; 4. 验证运算器的正确性和可靠性。 二、实验原理 1. 运算器的功能 运算器是计算机中执行算术和逻辑运算的核心部件,其主要功能包括加法、减法、乘法和除法等。通过逻辑门的组合和控制信号的输入,可以实现各种不同 的运算操作。 2. 逻辑门的基本原理 逻辑门是运算器中最基本的构建单元,它根据输入信号的不同,产生相应的输 出信号。常见的逻辑门包括与门、或门、非门等。通过逻辑门的组合和级联,

可以构建出更复杂的逻辑电路,实现各种逻辑运算。 3. 运算器的设计方法 运算器的设计方法主要包括两种:组合逻辑设计和时序逻辑设计。组合逻辑设计是指根据输入信号的组合,直接输出相应的结果。时序逻辑设计是指根据输入信号的变化过程,按照一定的时序规则输出结果。 三、实验过程 1. 运算器的整体设计 根据实验要求,我们需要设计一个具有加法、减法、乘法和除法功能的8位运算器。首先,我们需要确定运算器的输入和输出信号的格式和位数。然后,根据运算操作的特点,选择合适的逻辑门进行组合和级联,实现各种运算操作。 2. 逻辑门的选择和连接 在设计运算器时,我们需要根据实际需求选择适当的逻辑门。例如,对于加法操作,我们可以选择全加器进行设计;对于减法操作,可以选择加法器和取反器进行设计;对于乘法和除法操作,可以选择移位寄存器和与门进行设计。通过逻辑门的连接和控制信号的输入,可以实现各种运算操作。 3. 运算器的验证和测试 在完成运算器的设计和搭建后,我们需要进行验证和测试,确保其功能的正确性和可靠性。可以通过输入不同的测试数据,观察输出结果是否符合预期。同时,还可以通过性能测试和稳定性测试,评估运算器的性能和可靠性。 四、实验结果与分析 经过反复的设计和调试,我们成功搭建了一个具有加法、减法、乘法和除法功能的8位运算器。在测试过程中,我们输入了不同的测试数据,并观察了输出

《计算机组成原理》实验报告---8位算术逻辑运算实验

. '. 计算机专业类课程 实验报告 课程名称:计算机组成原理 学 院:信息与软件工程学院 专 业:软件工程 学生姓名: 学 号: 指导教师: 日 期: 2012 年 12 月 15 日

电子科技大学 实验报告 一、实验名称:8位算术逻辑运算实验 二、实验学时:2 三、实验内容、目的和实验原理: 实验目的: 1.掌握算术逻辑运算器单元ALU(74LS181)的工作原理。 2.掌握模型机运算器的数据传送通路组成原理。 3.验证74LS181的组合功能。 4.按给定数据,完成实验指导书中的算术/逻辑运算。 实验内容: 使用模型机运算器,置入两个数据DR1=35,DR2=48,改变运算器的功能设定,观察运算器的输出,记录到实验表格中,将实验结果对比分析,得出结论。 实验原理: 1.运算器由两片74LS181以并/串形式构成8位字长的ALU。

. '. 2.运算器的输出经过一个三态门(74LS245)和数据总线相连。 3.运算器的两个数据输入端分别由两个锁存器(74LS273)锁存。 4.锁存器的输入连至数据总线,数据开关(INPUT DEVICE)用来给 出参与运算的数据,并经过一三态门(74LS245)和数据总线相连。 5.数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内 容。 实验器材(设备、元器件):模型机运算器 四、实验步骤: 1. 仔细查看试验箱,按以下步骤连线 1)ALUBUS连EXJ3 2) ALU01连BUS1 3) SJ2连UJ2 4) 跳线器J23上T4连SD 5) LDDR1,LDDR2,ALUB,SWB四个跳线器拨在左边 6) AR跳线器拨在左边,同时开关AR拨在“1”电平 2. 核对线路,核对正确后接通电源 3. 用二进制数据开关KD0-KD7向DR1和DR2寄存器置入8位运算数据。

「计算机组成原理运算器实验报告」

计算机组成原理实验一 运算器实验 一、实验目的: 1、掌握简单运算器的数据传输方式。 2、验证运算功能发生器(74LS181)及进位控制的组合功能。 二、实验要求: 完成不带进位及带进位算数运算实验、逻辑运算实验,了解算数逻辑运算单元的运用。 三、实验原理: 74LS181是4位算术逻辑运算器,用两个74LS181并联可以

实现8位运算,为了实现双操作的运算,AL U的输入端分别由两个锁存器DR1,D R2锁存数据。数据显示灯和数据总线相连接,用来显示数据总线上的内容。由于实验电路中的时序信号均已连接至W/RUIT 相应的时序信号引出端,只要微动开关,即可获得实验所需的单脉冲。 四、 实验连接: 1.八位运算器控制信号连接: S3,S2,S 1,S0,M,/CN,LDDR1,L DDR2,LDCZ Y,/S W-B ,/AL U-B,Cn+4 C n+4I 2.完成连接并检查无误后接通电源。 五、实验仪器状态设定: 在闪动的“P.”状态下按动“增址”命令键,使L ED 显示器自左向右第一位显示提示符“H ”,表示本装置已进入手动单元实验状态。 五、 实验项目: (一)算数运算实验 拨动二进制数据开关向DR 1和D R2寄存器置数(灯亮为1,灯灭为0)。 步骤如下: [ CBA=001] [L DDR1=1] [LDDR 1=0] [L DDR2=0] [L DDR2=1]

[“按STEP”] [“按STEP”] 然后检查数据: 1.关闭数据输入三态门(CBA=000) 2.打开ALU输出三态门(CBA=010) 3.当置S3,S2,S1,S0,M为11111时,总线指示灯显示DR1中的数 4.当置S3,S2,S1,S0,M为10101时,总线指示灯显示DR2中的数 算数运算(不带进位)实验: 置CBA=010,S3,S2,S1,S0,M,/CN为100101,LDCZY=0,则数据总线指示灯显示00001100(0CH) (二)进位控制实验 (1)进位标志清零 CBA=000 置S3,S2,S1,S0,M为00000置/CN为0,LDCZY为1 按STEP (2)向DR1和DR2置数(同上) (3)验证进位运算及进位锁存功能,使/CN=1,LDCZY=1,来进行算数运算。 给定DR1=65,DR2=A7,改变运算器功能(逻辑或非运算方法见逻辑运算实验),得到运算器输出记录如下:

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告 实验目录: 一、实验1 Quartus Ⅱ的使用 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验步骤 (五)74138、74244、74273的原理图与仿真图 二、实验2 运算器组成实验 (一)实验目的 (二)实验任务 (三)实验要求 (四)实验原理图与仿真图 三、实验3 半导体存储器原理实验 (一)实验目的 (二)实验要求 (三)实验原理图与仿真图 四、实验4 数据通路的组成与故障分析实验 (一)实验目的 (二)实验电路 (三)实验原理图与仿真图 五、本次实验总结及体会:

一、实验1 Quartus Ⅱ的使用 (一)实验目的 1.掌握Quartus Ⅱ的基本使用方法。 2.了解74138(3:8)译码器、74244、74273的功能。 3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 (二)实验任务 1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三 种期间的功能。 (三)实验要求 1.做好实验预习,掌握74138、74244、74273的功能特性。 2.写出实验报告,内容如下: (1)实验目的; (2)写出完整的实验步骤; (3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。 (四)实验步骤 1.新建项目: 首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。 2.原理图设计与编译: 原理图的设计与编译在Compile Mode(编译模式)下进行。 2.1.新建原理图文件 打开File菜单,选择New,打开“新建”窗口。在图中所示的“Device Design Files”标签中,选择“Block Diagram/Schematic File”项,按下“OK”按钮即可打开原理图编辑器,进行原理图的设计与编辑。 选择“Block & Symbol Editors”中的不同器件,在编辑区中就可完成原理图的设计编辑。 添加元器件可点击“Block & Symbol Editors”中元器件符号,或在编辑区的空白处双击鼠标左键,出现“Symbol”对话框,在“Libraries”中选择所需元器件,或直接在“Name”文本框中输入元器件名称,如74138(3-8译码器),点击“OK”按钮,将元器件拖放到编辑区中。 按照设计需要,使用“单线连接线”或“总线连接线”将各器件的引脚连接起来。总线的命名采用数组形式,如out[7..0],与总线相连的引脚也采用相同的数组形式命名;若需从总线中引出单线时,须指出各单线对应的总线位号(双击线条即可命名)。两根连接线,若名称相同,亦表示两线为连通状态。选择某一元器件,点击“元器件翻转工具”按钮,即可改变元器件引脚顺序及摆放方向。 2.2.编译原理图 原理图设计完成后,在编译模式下,点击“►”按钮进行编译,编译无误将弹出编译成功对话框;编译如有错误,请根据“调试信息”框中的错误提示修改原理图,直至编译通过。生成成功后将弹出成功对话框。 2.3.生成自定义芯片 原理图编译通过后,可根据用户需要,设计生成自定义芯片。打开“Tools”菜单,选择“Creat Symbol For Current File”菜单项(如图3-13所示),就可生成自己定义的芯片,芯片的名称就是编译通过的原理图的名称。用户即可在“Symbol”对话框“Libraries”文本框的“Project”菜单下找到自己设计的芯片 3. 创建向量波形文件 当原理图编译完成后,需要新建波形文件,以便利用波形文件对前面完成的设计进行仿真分析。本过程需要在Simulate Mode(仿真模式)下进行。 打开“新建”窗口,在“Other Files”标签中选择“Vector waveform File”,按下“OK”按钮,即可新建一

相关文档
最新文档