五人多数表决器VHDL

五人多数表决器VHDL
五人多数表决器VHDL

五人多数表决器的VHDL设计

1 设计要求

(1)五人多数表决逻辑:多数通过;

(2 )在主持人控制下,10秒内表决有效;

(3)设主持人控制键,复位键:

控制键:启动表决;

复位键:系统复位。

2 设计说明

在脉冲作用下,使用减法计数器,在初值为10秒的时候,主持人按控制键启动表决后,开始计时。每来一个脉冲计数器就减少1。一直这样下去,直到计数器变为0。计数器为0时投票无效。最后统计投票人数通过同意人数决定表决结果,当投票人不小于3人时,投票通过。在主持人按下复位键时,计数回到10,重新进行减法计数器。直到为0。

3 设计结果

3.1 电路原理图

图1 原理图

3.2 信号表

voter:一维数组voter用来表示五位表决者;

pass:表决最终是否通过(‘1’为“通过”,‘0’为“未通过”);

total:表决通过的人数;

count:用来显示倒计时;

reset:主持人复位键,用来系统复位;

start:主持人控制键,用来启动表决;

clk:系统时钟;

图2 信号图

3.3 仿真结果

当处于复位状态时,外界的输入对结果没有影响。故时间仍为10秒,输出统计人数为0。仿真波形如图3所示。

图3 复位时的模拟结果

在非复位状态下,主持人按下开始键。表决开始。在没有人投票的情况下。时间变为0。表决结束。仿真波形如图4所示。

图4 无人赞成时的模拟结果

在非复位状态下,主持人按下开始键。表决开始。当超出表决时间时才进行表决,此表决无效。仿真波形如图5所示。

图5 规定时间外的模拟结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有两人赞同,仿真波形如图6所示。

图6 两人赞成时的结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有三人赞同,仿真波形如图7所示。

图7 三人赞成时的结果

在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有四人赞同,仿真波形如图8所示。

图7 四人赞成时的结果

该实验是一个具有计时功能的五人表决器,时间限制为10秒,主持人控制复位,开始。输出结果为表决通过的人数和最后表决是否通过。

3.4 电路图

图8 电路图

3.5 程序清单

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY vote5 IS

PORT(voter:IN STD_LOGIC_VECTOR(1 TO 5);

pass:OUT BIT;

total:OUT STD_LOGIC_VECTOR(3 DOWNTO 1);

count:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);

reset,start,clk:IN STD_LOGIC);

END vote5;

ARCHITECTURE one OF vote5 IS

BEGIN

PROCESS(voter,clk,start,reset)

VARIABLE suo:BOOLEAN;

VARIABLE sum:STD_LOGIC_VECTOR(1 TO 3);

BEGIN

IF (reset = '0') THEN

pass <= '0';

count <= "1010";

suo := FALSE;

sum := "000";

total <= "000";

ELSIF (clk'EVENT AND clk = '1') THEN IF (start ='1') THEN

IF (NOT suo) THEN

IF count = "0000" THEN

suo := TRUE;

FOR i IN 1 TO 5 LOOP

sum := voter(i) + sum;

END LOOP;

ELSE

count <= count-1;

END IF;

END IF;

total <= sum;

IF (sum >= 3) THEN

pass <= '1';

ELSE

pass <= '0';

END IF;

END IF;

END IF;

END PROCESS;

END one;

4 实验总结

该实验的关键是统计表决通过的人数和时间的限制,即怎样将通过的人数加起来,并且应该在什么时候统计!通过实验知道:应该在倒计时完成之后统计。因为不管你是在第几秒赞成,但只要你赞成,就意味在倒计时结束时也是赞成的,所以应该在此时统计。并且在倒计时之外,表决无效。

通过本次设计,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学们学以致用。

在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。

五人表决器的数字电路课程设计

数字电路课程设计报告书 课题名称 五人表决器的设计 姓 名 **** 学 号 ******** 院、系、部 物理与电信工程系 专 业 电子信息工程 指导教师 ***** ***年 **月 **日 ※※※※※※※※※ ※※ ※※ ※ ※ ※※※※※※※※※ ****级电子信息工程专业电子技术课 程设计

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1

数电课程设计五人表决器设计

数电部分 五人表决器设计 一、设计任务与要求 1.设计一个五人表决器,通过红绿两种不同颜色的灯来代表表决是否通过,并用数码管显示出同意的人数。 2.使用74HC138译码器芯片进行控制,按照少数服从多数的原则,多数人同意则通过,少数人同意则被否决。用绿灯亮表通过,红灯亮表示否决。 3.学会根据已学知识设计具有某一特定功能的电路,学会基本电路的组装与调试。 二、方案设计与论证 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。对逻辑电位进行译码,对译码后芯片的输出进行显示。 对于五人表决器,首先设五人分别为A B C D E ,设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则绿灯亮 (绿灯用“1”表示),红灯亮(红灯用“0”表示)。 五人表决器真值表

如果绿灯亮了,则表示表决通过,若红灯亮了,表示不通过。 三、单元电路设计与参数计算 芯片74HC138,是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。 74HC138集成译码器功能表

U7 74HC138D_2V Y015Y114Y213Y312Y411Y510Y69Y7 7 A 1 B 2 C 3G16~G2A 4~G2B 5 74HC138外围引脚分布图 芯片74HC139,是双2线-4线译码器,它的输出也是低电平有效,符号匡内部的输入、输出变量表示其内部的逻辑关系。 74HC139 2-4线译码器真值表 U6A 74HC139DW_2V 1Y041Y151Y261Y3 7 1A 21B 3~1G 1

五人表决器的设计说明

《数字与逻辑电路基础》课程设计 ——五人表决器的设计 姓名: 学号:2015 学院:自动 任课教师:

目录................................................................... (2) 引言 (3) 摘要.............................................. 错误!未定义书签。实验设计原理...................................... 错误!未定义书签。实验步骤.......................................... 错误!未定义书签。真值表 (4) 卡诺图 (5) 电路图 (7) Multisim仿真截图 (8) 电路设计总结 (8)

引言: 现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否 的结果。 摘要: 74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分 区工作。 实验设计原理分析: 先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。

实验步骤如下: 一.列出5人表决结果真值表。

五人多数表决器VHDL

五人多数表决器的VHDL设计 1 设计要求 (1)五人多数表决逻辑:多数通过; (2 )在主持人控制下,10秒内表决有效; (3)设主持人控制键,复位键: 控制键:启动表决; 复位键:系统复位。 2 设计说明 在脉冲作用下,使用减法计数器,在初值为10秒的时候,主持人按控制键启动表决后,开始计时。每来一个脉冲计数器就减少1。一直这样下去,直到计数器变为0。计数器为0时投票无效。最后统计投票人数通过同意人数决定表决结果,当投票人不小于3人时,投票通过。在主持人按下复位键时,计数回到10,重新进行减法计数器。直到为0。 3 设计结果 3.1 电路原理图 图1 原理图 3.2 信号表 voter:一维数组voter用来表示五位表决者; pass:表决最终是否通过(‘1’为“通过”,‘0’为“未通过”);

total:表决通过的人数; count:用来显示倒计时; reset:主持人复位键,用来系统复位; start:主持人控制键,用来启动表决; clk:系统时钟; 图2 信号图 3.3 仿真结果 当处于复位状态时,外界的输入对结果没有影响。故时间仍为10秒,输出统计人数为0。仿真波形如图3所示。 图3 复位时的模拟结果 在非复位状态下,主持人按下开始键。表决开始。在没有人投票的情况下。时间变为0。表决结束。仿真波形如图4所示。

图4 无人赞成时的模拟结果 在非复位状态下,主持人按下开始键。表决开始。当超出表决时间时才进行表决,此表决无效。仿真波形如图5所示。 图5 规定时间外的模拟结果 在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有两人赞同,仿真波形如图6所示。 图6 两人赞成时的结果

五人表决器设计

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1

0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 0 1 1 0 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 0 1 0 1 1 1 1 1 0 1 1 1 0 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 0 1 1 0 1 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 如果红灯亮了,则表示表决通过,若绿灯亮了,表示不通过。下面介绍系统所涉及的重要芯片。 3.3、主要元件介绍 芯片74HC138,是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。 表3.2 74HC138集成译码器功能表 输入输出 G1G2A 非G2B 非 C B A Y0 非 Y1 非 Y2 非 Y3 非 Y4 非 Y5 非 Y6 非 Y7 非 * H * * * * H H H H H H H H * * H * * * H H H H H H H H L * * * * * H H H H H H H H H L L L L L L H H H H H H H H L L L L H H L H H H H H H H L L L H L H H L H H H H H H L L L H H H H H L H H H H H L L H L L H H H H L H H H H L L H L H H H H H H L H H H L L H H L H H H H H H L H H L L H H H H H H H H H H L

基于Multisim的五人表决器设计

摘要:本次课程设计的目的是作出一个五人表决器,要求能实现五人表决器的基本功能。采用了组合电路的设计方法,首先根据表决器的功能写出真值表,得出输出的表达式,再根据卡诺图,得到最简的表达式。然后使用Multisim设计出原理图,仿真能得到正确的结果。 关键词:五人表决器、74LS283N、7485N、译码显示、表决 一、设计目的: (1)、熟悉集成电路的引脚安排。 (2)、掌握Multisim的基本用法。 (3)、掌握74LS283N、7485N等芯片的逻辑功能和译码显示器的使用方法。 (4)、熟悉五人表决器的组成和工作原理。 (5)、熟悉五人表决器的设计。 二、设计思路: (1)、设计表决器的工作电路。 (2)、设计输入电路。 (3)、设计清零电路。 (4)、设计显示输出电路。 三、设计过程: 3.1 整体设计思路 本次设计的五人表决器根据其实现的功能要求,大概可以分为四大方面:一是5人的按键选择;二是74LS283芯片,对输入电平进行全加;三是7485N芯片,对其进行比较;四是译码显示器对逻辑运算后的结果进行输出显示,完成五人表决器的功能。 根据以上的整体设计思路,五人表决器可以利用以下方框图,作形象的展现。其总体方框图如图1:

——— ——— 图1 五人表决器框图 结合以上框图,综述如下: 第一步:按键选择。五人评委根据意愿按下按键,即输入逻辑电位。 第二步:全加。有关芯片对所输进的逻辑电位,进行译码。 第三步:逻辑运算。对译码后芯片的输出进行逻辑运算。 第四步:显示输出。将运算结果通过译码显示器输出,显示表决结果。 3.2 各部分的具体电路设计 1、按键选择部分的电路设计 五人多数表决,只要在规定时间内,赞成人数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值,然后再将结果值显示出来既可以得到 设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中有3个以上(含3个)为“1”时。则表决器输出为“1”;否则为“0”。 2、全加部分的电路设计 A.74LS283N的引脚、功能、参数、真值表 (1)、74LS283N为四位二进制超前进位全加器,可进行两个四位二进制数的加法运算,每位有和输出Σ1~Σ4,进位由第四位得到C4。

五人表决器的数字电路课程设计

数字电路课程设计报告书 课题名称五人表决器的设计 姓名陈泽 学号******** 院、系、部物理与电信工程系 专业电子信息工程 指导教师***** ***年 **月 **日

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1

五人人表决器 数字电路

Guangxi University of Science and Technology 数字电子技术基础课程设计 课程名称:数字电子技术 课题名称:5人表决器 姓名:庞兴文 学号:201200402009 院系:计算机学院通信工程专业 专业班级:通信121 指导教师:张雅兰 完成日期:2014年7月10日

目录 一.设计任务 (3) 二.设计要求 (3) 三.设计目的 (3) 四.设计思路 (4) 五.设计过程 (4) 六.设计体会 (10)

一、设计任务 ①设计一个五人表决器。 ②通过灯亮来代表表决是否通过 二、设计要求 ①学习使用八选一数据选择器芯片74LS151进行控制。 ②当有三个或三个以上的人同意则通过,少于三个同意则被否决。 ③用红灯表示五人中亮灯的情况,用绿灯亮表示通过。

三、设计目的 本课程设计是在前导验证性认知实验基础上,进行更高层次的命题设计实验,要求学生在教师指导下独立查阅资料、设计、安装和调试特定功能的电子电路。培养学生利用模拟、数字电路知识,解决电子线路中常见实际问题的能力,使学生积累实际电子制作经验,目的在于巩固基础、注重设计、培养技能、追求创新、走向实用用已学过的知识和对数字电子技术的基本理论,基本概念,基本方法和单元电路,逻辑部件的深入认识,而拓宽思路,扩大视野,进一步巩固,扩充所学知识,提高分析问题和解决问题的能力。(1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 (4)学习Altium designer软件 四、设计思路 (1)设计表决工作电路 (2)设计不同开关控制数据选择器 (3)设计输出显示电路

多数表决器的设计

数字逻辑课程实验报告 实验名称多路表决器的设计 实验人姓名颜建学 学号410109070321 班级4101090703 同组人姓名 实验时间2012/4/13 成绩 石家庄经济学院信工学院

一、实验内容 打开试验箱,连接上电源。将芯片74LS00和74LS20插好在实验板上。按如图一所示电路图。利用74LS00芯片将三个输入两两相与非,形成三个输出。再将三个输出连到74LS20芯片上。由于74LS20芯片为四个输入一个输出。没有的那个输入连在正极上。(注意芯片是否连接了电源)。然后按表决器真值表测试电路功能是否正确完整。 二、实验器件 .74LS00芯片1片 .74LS20芯片1片 三、实验原理 1.系统输入输出确定 2.表决器真值表如下: A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 3.逻辑函数表达式 F=AB+AC+BC 4.电路图如图一 (图一) 四、测试及分析 74LS00逻辑电路图和真值表如图二:

图表 2 74LS20逻辑电路图和真值表如图三: 图表 3 根据表决器真值表测试电路(高电平有效),当高电平输入大于或等于两个时,指示灯亮。反之,指示灯不亮。 所以说通过对实验结果进行的分析,其与理论结果一致。 五、总结 通过已知的逻辑电路图确定了所需的芯片。然后按照芯片功能,将输入依次连接进线路内。唯一困难的是不知道缺少的那个输入怎么办。在请教老师和分析电路逻辑之后,接上了正极(相当于高电平),对电路逻辑功能没有影响。在以后的实验中,要加强团队的合作,勤思多问,这样有利于更好地发现问题,解决问题。

五人表决器 数电课程设计

课程设计报告 课程名称:数字电子技术基础 课题名称:五人表决器的设计 姓名:包捷武 学号: 201100402029 院系:计算机学院 专业班级:通信111 指导教师:张雅兰 完成日期: 2013年9月1日

目录 第1部分课程设计报告 (3) 第1章课程设计目的 (3) 第2章课程设计内容和要求 (4) 第3章课程设计总体方案及分析 (4) 3.1方案论证 (4) 3.2系统原理 (4) 3.3主要元件介绍 (5) 3.4仿真 (8) 3.5五人表决器原理和布线 (10) 3.6主要仪器与设备 (11) 3.7参考文献 (12) 第2部分课程设计总结 (13)

第1部分课程设计报告第1章课程设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习PROTEUS仿真。 (4)学习Altium Dessngner原理图与PCB设计。 第2章课程设计内容和要求 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路

第3章课程设计总体方案及分析 3.1方案论证 按键选择译码显示输出 图3.1-1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,该评委的红色指示灯亮;开关没有按下去时,表示不赞成,该评委的红色指示灯不亮;评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则绿灯亮 (红灯用“1”表示) 。如果绿灯亮了,则表示表决通过,若绿不灯亮,表示不通过。

五人多数表决器

湖南科技大学 《VHDL语言》 课程设计报告 题目: VHDL语言课程设计 专业:通信工程 班级: 002 班 姓名:谭芳芳 学号: 0954040220 题目VHDL语言课程设计

设计时间2011.12.19-2011.12.30 设计目的: 选题一:设计一个五人多数表决器 系统地学习了EDA工程概论,VHDL描述语言和设计应用方面的基础知识 选题二:数字式竞赛抢答器 能够较熟练地使用MAX_PLUSⅡ软件进行设计开发,用原理图输入及VHDL语言等设计输入并编译仿真,同时我们对以往学过的理论知识有了更加透彻的理解。 设计要求: 选题一:五人多数表决逻辑:多数通过;在主持人控制下,10秒内表决有效;用数码管显示表决10秒倒计时;表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过;设主持人控制键,复位键:控制键:启动表决; 选题二:设计一个可容纳四组参赛者同时抢答的数字抢答器,可判断第一抢答者并报警指示抢答成功,其他组抢答均无效。若提前抢答则对相应的抢答组发出警报。同时还具有计分功能,若抢答成功并回答正确增加1分,答错不扣分。 总体方案实现: 选题一方案:五人多数表决,只要在规定时间内,赞成人数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中有3个以上(含3个)为“1”时。则表决器输出为“1”;否则为“0”。 选题二方案:将整个系统分为三个主要模块:抢鉴别模块QDJB;抢答计分模块JFQ;译码器YMQ,对于需显示的信息,需增加或外接译码器,进行显示译码。 指导教师评语: VHDL课程设计报告 一、课程设计的目的 选题一五人多数表决器

单片机课程设计报告(五人表决器)

目录 一、方案设计 (2) 二、硬件电路设计 (2) 三、软件设计说明 (6) 四、程序清单 (7) 五、制作调试说明 (12) 六、操作使用说明 (13) 七、总结 (13)

单片机课程设计 一、方案设计 1、设计题目:5人表决器 2、设计要求: 1)、可供5—7个人进行表决,每个人有一个“同意”和一个“反对”按键,故一个人需要两个按键,表决时两个键先按下的一个有效,同时按下则表示无效,每次表决每个按键只能是第一次按下的有效,多按无效,用单片机设计表决器是硬件电路与软件设计相结合的一种设计,因此在硬件电路只能实现按键的按下与释放,不能智能的实现检测按键被按下几次,则可用软件设计来实现其功能。2)会议主持人可利用按键控制表决的开始与结束,点亮黄灯用于显示表决开始,熄灭黄灯表示结束,并将表决结果用红灯与绿灯显示。当不相等时,“同意”多于“反对”点亮绿灯,“同意”少于“反对”点亮红灯。 3)在实现上述功能的基础上增加“同意”数和“反对”数的显示。 二、硬件电路设计 1、硬件设计思路: 此次设计的题目是5人表决器,分析得设计的硬件电路选用P1口与P2口作为同意与反对按键的输入端。又表决的开始与结束是由主持人来控制的,因此需要两个按键来表示表决的开始与结束,因此可采用外部中断0与外部中断1来控制表决的开始于结束。故设计总共需要按键12个。设计要求中需要四盏灯,分变为

一个黄灯,一个绿灯和二个红灯,三盏灯用于输出显示,因此也可以征用单片机的I/O口,由于P1口与P2口作为同意与反对按键的输入端且P0口当做输出端口时可以不用接上拉电阻,因此可将三个显示灯接在P0口用于显示表决的结果。还有一盏红灯用来做电源指示灯。 2、元器件参数确定: 设计要求中需要三盏灯(黄灯,绿灯和红灯)用于输出显示,选用P0口的3个端口与显示灯相连接用于输出,由于输出端口输出的高电平一般为5V,而发光二极管允许的最大电流为5mA,因此需要用到限流电阻,发光二极管可降2V的电压,则限流电阻上的压降为3V,那么限流电阻的最小阻值为R=3V/5mA=600欧姆,由于输出的高电平不是5V,故用510欧姆的限流电阻。然后将单片机的最小系统(最小系统中各元器件的参数可参考单片机课本中给出的值)加入电路中就是此次设计的硬件电路。 3、元件清单: 元件名称元件数目元件型号元件参数备注 按键开关12个一般接对角线电解电容1个一般22uF 有正负之分瓷片电容2个30PF 发光二极管3个普通红、黄、绿灯显示灯晶振1个12M 内部时钟电路电阻3个普通510 用于限流 单机片芯片一片8051 40脚 电源插头1个/ / /

五人多数表决器

广西大学行健文理学院 FPGA课程设计 题目:五人多数表决器 学部:电气信息学部 专业:电子科学与技术 班级:2012级1班 学号:1238340126 学生姓名:郑大发 指导老师:周柳娜 二〇一五年一月

摘要 在电子设计与制造技术的发展中,核心就是电子设计自动化(EDA,Electronic Design Automation)技术。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。本次设计实验就是基于EDA技术和EP1C6Q240C8芯片(FPGA),及其外围电路,实现了五人多数表决器的设计。设计模块主要包括:控制单元、计数单元、显示单元。从而实现了用人数多于或等于3来判决是否通过,从而达到设计要求。 关键词:EDA、VHDL、显示单元、控制单元

目录 设计要求 (1) 前言 (1) 1方案论证 (1) 1.1方案一 (1) 1.2方案二 (2) 1.3方案对比与选择 (3) 2基本功能模块设计与说明 (3) 2.1十秒倒计时模块说明 (3) 2.2数码管机二极管显示模块说明 (3) 2.3电路原理图 (4) 3 软件设计 (4) 4 管脚说明 (5) 5仿真现象 (6) 6实验结论 (9) 7实验总结 (10) 致谢 (11) 参考文献 (12) 附录 (13)

五人多数表决器 设计要求 1五人多数表决逻辑:多数通过; 2 在主持人控制下,10秒内表决有效; 3采用数码管显示表决10秒倒计时; 4表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过; 5 设主持人控制键,复位键: 控制键:启动表决; 复位键:系统复位。 前言 本课程设计是一个基于EDA技术的五人多数表决器,通过时间的限制,主持人的控制,共同决定通过与不通过。当投票的人数大于等于三个时,表明通过,否则不通过。该设计可以应用到简单的表决竞赛中。下过很好。当时间超过规定,以后的投票都视为无效。从而实现表决功能。 1方案论证 1.1方案一 五人多数表决,只要在规定时间内,赞成人数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中有3个以上(含3个)为“1”时。则表决器输出为“1”;否则为“0”。

四人表决器课程设计

电子课程设计报告题目:设计四人表决器 课程:电子技术课程设计 学生姓名: 学生学号:1414020221 年级:2014级 专业:电子信息工程 班级:2班 指导教师:赵旺 电子工程学院制

2016年5月 设计四人表决器 学生:任春晖 指导教师:赵旺 电子工程学院电子信息工程 1设计的任务与要求 1.1课程设计的任务 1.综合应用数字电路知识设计一个四电路表决器。了解各种元器件的原理及其应用。 2.深入了解表决器的工作原理。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握四人表决器的设计方法。 1.2课程设计的要求 当输入端有三个或三个以上的高电平,出入端才为高电平(即灯亮,表决通过)。否则灯不亮(表决不通过)。 2四人表决器方案制定 2.1表决电路设计的原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路设计方法。设计组合电路的一般步骤如图一所示。

图一组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2.2表决电路的设计方案 设计中我们设A、B、C、D为表决人,若它们中有三个或三个以上同意(即为高电平1),则表决结果通过(即表决结果F为高电平1),否则表决不通过(即F为低电平0)。 ①根据步骤一中所述作出真值表: 表1 真值表

相关文档
最新文档