五人表决器设计

五人表决器设计
五人表决器设计

一、设计任务及要求:

设计任务:

①设计一个五人表决器。

②通过两种不同颜色的灯来代表表决是否通过

要求:

①学习使用芯片74HC138进行控制。

②多数人同意则通过,少数人同意则被否决。

③用红灯亮表通过,绿灯亮表否决。

指导教师签名:

**** 年月日二、指导教师评语:

指导教师签名:

****年月日三、成绩

验收盖章

**** 年月日

五人表决器的设计

1 设计目的

(1)熟悉集成电路的引脚及安排。

(2)掌握各芯片的逻辑功能及控制方法。

(3)学习multisim11.0仿真。

2设计思路

(1)设计表决工作电路

(2)设计不同开关控制译码器

(3)设计输出显示电路

3 设计过程

3.1、方案论证

按键选择译码显示输出

图3.1 五人表决器的结构框图

1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。

2. 对逻辑电位进行译码。

3. 对译码后芯片的输出进行显示。

3.2、系统原理

对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。

表3.1 5人表决器真值表

A B C D E Y A B C D E Y

0 0 0 0 0 0 1 0 0 0 0 0

0 0 0 0 1 0 1 0 0 0 1 0

0 0 0 1 0 0 1 0 0 1 0 0

0 0 0 1 1 0 1 0 0 1 1 1

0 0 1 0 0 0 1 0 1 0 0 0

0 0 1 0 1 0 1 0 1 0 1 1

0 0 1 1 0 0 1 0 1 1 0 1

0 0 1 1 1 1 1 0 1 1 1 1

0 1 0 0 0 0 1 1 0 0 0 0

0 1 0 0 1 0 1 1 0 0 1 1

0 1 0 1 0 0 1 1 0 1 0 1

0 1 0 1 1 1 1 1 0 1 1 1

0 1 1 0 0 0 1 1 1 0 0 1

0 1 1 0 1 1 1 1 1 0 1 1

0 1 1 1 0 1 1 1 1 1 0 1

0 1 1 1 1 1 1 1 1 1 1 1

如果红灯亮了,则表示表决通过,若绿灯亮了,表示不通过。下面介绍系统所涉及的重要芯片。

3.3、主要元件介绍

芯片74HC138,是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。

表3.2 74HC138集成译码器功能表

输入输出

G1G2A

非G2B

C B A Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

* H * * * * H H H H H H H H * * H * * * H H H H H H H H L * * * * * H H H H H H H H H L L L L L L H H H H H H H H L L L L H H L H H H H H H H L L L H L H H L H H H H H H L L L H H H H H L H H H H H L L H L L H H H H L H H H H L L H L H H H H H H L H H H L L H H L H H H H H H L H H L L H H H H H H H H H H L

U1

74HC138D_2V

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2

C 3G16~G2A 4~G2B

5

图3.2 74HC138外围引脚分布图

芯片74HC139,是双2线-4线译码器,它的输出也是低电平有效,符号匡内部的输入、输出变量表示其内部的逻辑关系。

表3.3 74HC139 2-4线译码器真值表

输入

输出 G 非 B A Y 0 非 Y 1非 Y 2非

Y 3非 1 * * 1 1 1 1 0 0 0 0 1 1 1 0 0 1 1 0 1 1 0 1 0 1 1 0 1 0 1 1

1 1

1 0

U2A

74HC139DW_4V 1Y041Y151Y261Y3

7

1A 21B 3~1G

1

图3.3 74HC139外围引脚分布图

4 仿真

仿真实验如图4.1和4.2所示:

图4.1是红灯亮的情况之一,如图,当有四人A B C E 同意,但D 反对时,最终结果是通过,这是真值表中11101的情况。

U1

74HC138D_2V

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2C

3G16~G2A 4~G2B

5

U2

74HC138D_2V

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2

C 3

G16~G2A 4~G2B

5

U3

74HC138D_2V

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2

C 3G16~G2A 4~G2B

5

U4

74HC138D_2V

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2

C 3G16~G2A 4~G2B

5

J1Key = E

J2

Key = D

J3

Key = C

J4

Key = B

J5

Key = A

U5A

74HC139DW_2V

1Y041Y151Y261Y3

7

1A 21B 3~1G

1

U6A 7405N U7A 7405N

U8A 7405N

U9A 7405N

U10C 7405N

VCC

5V

X1

2.5 V

X2

2.5 V

图4.1 表决通过的一种情况

图4.2则是绿灯亮的情况之一,如图,当C E 赞同,但A B D 反对时,结果是否决的,这满足真值表中00101的情况。

U1

74HC138D_2V

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2C

3G16~G2A 4~G2B

5

U2

74HC138D_2V

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2

C 3

G16~G2A 4~G2B

5

U3

74HC138D_2V

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2

C 3G16~G2A 4~G2B

5

U4

74HC138D_2V

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2

C 3G16~G2A 4~G2B

5

J1Key = E

J2

Key = D

J3

Key = C

J4

Key = B

J5

Key = A

U5A

74HC139DW_2V

1Y041Y151Y261Y3

7

1A 21B 3~1G

1

U6A 7405N U7A 7405N

U8A 7405N

U9A 7405N

U10C 7405N

VCC

5V

X1

2.5 V

X2

2.5 V

图4.2 表决被否决的一种情况

5 主要仪器与设备

集成电路:74HC138 3-8线译码器四片 74HC1392-4线译码器一片

仿真软件:Multisim 11.0仿真软件 其他 红绿灯 各一个 开关五个

6 设计体会与建议

6.1、设计体会

通过这次对五人表决器系统的设计,让我了解了multisim 仿真软件基础知识,也让我了解了关于控制系统设计的一般步骤和方法,要实现一个系统,简单的说如果要实现一个功能,都需要这样一个过程,首先是系统原理上的设计,我们必须再阅读大量的文献的基础上,才能对整个系统的基本原理有一个大体的了解,再对系统原理有了充分的认识后,我们就可以开始系统软件的设计了。

最后我们就可以开始软件的调试了,可以先通过仿真软件multisim11.0对我们程序施行仿真,最后 进行硬件的焊接。

这个过程让我学到了很多知识,比如像芯片功能方面的基础知识,还有multisim 仿真软件的运用,同时也复习了以前学过的知识,像电路及数字电路等,最重要的是提高了自身的实践能力和进一步加深我对理论知识的认识。

6.2、对设计的建议

我希望老师在我们动手制作之前应先告诉我们一些关于所做电路的资料、原理,以及如何检测电路的方法,还有关于检测芯片的方法。这样会有助于我们进

一步的进入状态,完成设计。

参考文献

[1].韩广兴等电子元器件与实用电路基础[M] 北京:电子工业出版社 2005年1月

[2].蓝和慧宁武等全国大学生电子设计竞赛单片机应用技能精解[M] 北京:电子工业出

版社 2009年4月

[3].康光华.电子子技术基础(数字部分第五版)[M].北京:高等教育出版社.2004 .

[4].康光华.电子技术基础(模拟部分)[M].北京:高等教育出版社.2004

[5].赵春华.电子技术基础(仿真实验)[M].北京:机械工业出版社.1998

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

五人表决器的数字电路课程设计

数字电路课程设计报告书 课题名称 五人表决器的设计 姓 名 **** 学 号 ******** 院、系、部 物理与电信工程系 专 业 电子信息工程 指导教师 ***** ***年 **月 **日 ※※※※※※※※※ ※※ ※※ ※ ※ ※※※※※※※※※ ****级电子信息工程专业电子技术课 程设计

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

数电课程设计五人表决器设计

数电部分 五人表决器设计 一、设计任务与要求 1.设计一个五人表决器,通过红绿两种不同颜色的灯来代表表决是否通过,并用数码管显示出同意的人数。 2.使用74HC138译码器芯片进行控制,按照少数服从多数的原则,多数人同意则通过,少数人同意则被否决。用绿灯亮表通过,红灯亮表示否决。 3.学会根据已学知识设计具有某一特定功能的电路,学会基本电路的组装与调试。 二、方案设计与论证 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。对逻辑电位进行译码,对译码后芯片的输出进行显示。 对于五人表决器,首先设五人分别为A B C D E ,设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则绿灯亮 (绿灯用“1”表示),红灯亮(红灯用“0”表示)。 五人表决器真值表

如果绿灯亮了,则表示表决通过,若红灯亮了,表示不通过。 三、单元电路设计与参数计算 芯片74HC138,是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。 74HC138集成译码器功能表

U7 74HC138D_2V Y015Y114Y213Y312Y411Y510Y69Y7 7 A 1 B 2 C 3G16~G2A 4~G2B 5 74HC138外围引脚分布图 芯片74HC139,是双2线-4线译码器,它的输出也是低电平有效,符号匡内部的输入、输出变量表示其内部的逻辑关系。 74HC139 2-4线译码器真值表 U6A 74HC139DW_2V 1Y041Y151Y261Y3 7 1A 21B 3~1G 1

换热器原理及设计大纲.pdf

《换热器原理及设计》教学大纲 Principles and Design of Heat Exchanger 一、课程类别和教学目的 课程类别:专业课 课程教学目标:通过该门课程的学习,使学生了解各种常用热交换器(也称换热器)的工作原理,掌握以满足流动和传热为条件的热交换器的设计方法,了解热交换器的实验研究方法、强化技术和性能评价,为以后的学习、创新和科学研究打下扎实的理论和实践基础。 二、课程教学内容 (一)绪论 介绍热交换器的重要性、分类及其在工业中的应用,换热器设计计算的内容。 (二)热交换器计算的基本原理 介绍传热方程式、热平衡方程式的应用;讲授流体比热或传热系数变化时的平均温差的 计算方法、传热有效度、热交换器计算方法的比较、流体流动计算方法的比较。 (三)管壳式热交换器 介绍管壳式热交换器的类型、标准与结构;讲授管壳式热交换器的结构计算、传热计算和流动阻力计算、管壳式热交换器的设计程序、管壳式冷凝器与蒸发器的工作特点。 (四)高效间壁式热交换器 介绍螺旋板式热交换器、板式热交换器、板翅式热交换器、翅片管热交换器、热管热交 换器、蒸发(冷却)器、微尺度热交换器的结构、工作原理及其设计计算。 (五)混合式热交换器 讲授冷水塔的热力计算、通风阻力计算与设计计算,汽-水喷射式热交换器的相关计算、水-水喷射式热交换器的相关计算;介绍混合式热交换器的分类。 (六)蓄热式热交换器 介绍回转型蓄热式热交换器和阀门切换型蓄热式热交换器的构造和工作原理;讲授蓄热式热交换器的计算、蓄热式热交换器与间壁式热交换器中气流及材料的温度变化比较。 (七)热交换器的试验与研究 介绍传热系数的测定方法、阻力特性实验的测定方法;讲授增强传热的基本途径、热交换器的结垢类型与腐蚀方法、热交换器的优化设计与性能评价方法。 三、课程教学基本要求 (一)绪论

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

五人表决器设计

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1

0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 0 1 1 0 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 1 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 0 1 0 1 1 1 1 1 0 1 1 1 0 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 0 1 1 0 1 1 1 0 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 如果红灯亮了,则表示表决通过,若绿灯亮了,表示不通过。下面介绍系统所涉及的重要芯片。 3.3、主要元件介绍 芯片74HC138,是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。 表3.2 74HC138集成译码器功能表 输入输出 G1G2A 非G2B 非 C B A Y0 非 Y1 非 Y2 非 Y3 非 Y4 非 Y5 非 Y6 非 Y7 非 * H * * * * H H H H H H H H * * H * * * H H H H H H H H L * * * * * H H H H H H H H H L L L L L L H H H H H H H H L L L L H H L H H H H H H H L L L H L H H L H H H H H H L L L H H H H H L H H H H H L L H L L H H H H L H H H H L L H L H H H H H H L H H H L L H H L H H H H H H L H H L L H H H H H H H H H H L

五人表决器的设计说明

《数字与逻辑电路基础》课程设计 ——五人表决器的设计 姓名: 学号:2015 学院:自动 任课教师:

目录................................................................... (2) 引言 (3) 摘要.............................................. 错误!未定义书签。实验设计原理...................................... 错误!未定义书签。实验步骤.......................................... 错误!未定义书签。真值表 (4) 卡诺图 (5) 电路图 (7) Multisim仿真截图 (8) 电路设计总结 (8)

引言: 现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否 的结果。 摘要: 74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分 区工作。 实验设计原理分析: 先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。

实验步骤如下: 一.列出5人表决结果真值表。

换热器原理与设计(答案)

广东海洋大学 2013年清考试题 《换热器原理与设计》课程试题 课程号: 1420017 √ 考试 □ A 卷 □ 闭卷 □ 考查 □ B 卷 √ 考试 一.填空题(10分。每空1分) 1.相比较沉浸式换热器和喷淋式换热器,沉浸式换热器传热系数 较低。 2.对于套管式换热器和管壳式换热器来说, 套管式换热器 金属耗量多,体积大,占地面积大,多用于传热面积不大的换热器。 3.在采用先逆流后顺流<1-2>型热效方式热交换器时,要特别注意温度交叉问题,避免的方法是 增加管外程数 和两台单壳程换热器串联。 4.在流程的选择上,腐蚀性流体宜走 管程,流量小或粘度大的流体宜走壳程,因折流档板的作用可使在低雷诺数(Re >100)下即可达到湍流。 5.采用短管换热,由于有入口效应,边界层变薄,换热得到强化。 6. 相对于螺旋槽管和光管,螺旋槽管的换热系数高. 7. 根据冷凝传热的原理,层流时,相对于横管和竖管,横管 传热系数较高。 8.减小管子的支撑跨距能增加管子固有频率,在弓形折流板缺口处不排管,将 减小 管子的支撑跨距 9. 热交换器单位体积中所含的传热面积的大小大于等于700m 2/m 3,为紧凑式换热器。 10. 在廷克流动模型中ABCDE5股流体中,真正横向流过管束的流路为B 股流体,设置旁路挡板可以改善C 股流体对传热的不利 GDOU-B-11-302 班级: 姓 名: 学号: 试题共 4 页 加白纸3 张 密 封 线

影响。

二.选择题(20分。每空2分) 1.管外横向冲刷换热所遵循侧传热准则数为(C ) A. 努赛尔准则数 B. 普朗特准则数 C. 柯尔本传热因子 D. 格拉肖夫数 2.以下哪种翅片为三维翅片管( C ) A. 锯齿形翅片 B. 百叶窗翅片 C. C管翅片 D. 缩放管 3.以下换热器中的比表面积最小( A ) A.大管径换热器B.小管径换热器 C.微通道换热器 D. 板式换热器 4. 对于板式换热器,如何减小换热器的阻力(C ) A.增加流程数B.采用串联方式 C.减小流程数 D. 减小流道数。 5.对于板翅式换热器,下列哪种说法是正确的( C ) A.翅片高度越高,翅片效率越高 B.翅片厚度越小,翅片效率越高 C.可用于多种流体换热。 D. 换热面积没有得到有效增加。 6.对于场协同理论,当速度梯度和温度梯度夹角为( A ),强化传热效果最好。 A.0度B.45度 C.90度 D. 120度 7. 对于大温差加热流体(A ) A.对于液体,粘度减小B.对于气体,粘度减小 C.对于液体,传热系数减小 D. 对于气体,传热系数增大8. 对于下列管壳式换热器,哪种换热器不能进行温差应力补偿( B ) A.浮头式换热器B.固定管板式换热器 C.U型管换热器 D. 填料函式换热器。 9. 对于下列管束排列方式,换热系数最大的排列方式为( A ) A.正三角形排列B.转置三角形排列 C.正方形排列 D. 转正正方形排列。 10. 换热器内流体温度高于1000℃时,应采用以下何种换热器(A )

五人多数表决器VHDL

五人多数表决器的VHDL设计 1 设计要求 (1)五人多数表决逻辑:多数通过; (2 )在主持人控制下,10秒内表决有效; (3)设主持人控制键,复位键: 控制键:启动表决; 复位键:系统复位。 2 设计说明 在脉冲作用下,使用减法计数器,在初值为10秒的时候,主持人按控制键启动表决后,开始计时。每来一个脉冲计数器就减少1。一直这样下去,直到计数器变为0。计数器为0时投票无效。最后统计投票人数通过同意人数决定表决结果,当投票人不小于3人时,投票通过。在主持人按下复位键时,计数回到10,重新进行减法计数器。直到为0。 3 设计结果 3.1 电路原理图 图1 原理图 3.2 信号表 voter:一维数组voter用来表示五位表决者; pass:表决最终是否通过(‘1’为“通过”,‘0’为“未通过”);

total:表决通过的人数; count:用来显示倒计时; reset:主持人复位键,用来系统复位; start:主持人控制键,用来启动表决; clk:系统时钟; 图2 信号图 3.3 仿真结果 当处于复位状态时,外界的输入对结果没有影响。故时间仍为10秒,输出统计人数为0。仿真波形如图3所示。 图3 复位时的模拟结果 在非复位状态下,主持人按下开始键。表决开始。在没有人投票的情况下。时间变为0。表决结束。仿真波形如图4所示。

图4 无人赞成时的模拟结果 在非复位状态下,主持人按下开始键。表决开始。当超出表决时间时才进行表决,此表决无效。仿真波形如图5所示。 图5 规定时间外的模拟结果 在非复位状态下,主持人按下开始键。表决开始。在规定时间内只有两人赞同,仿真波形如图6所示。 图6 两人赞成时的结果

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

换热器原理与设计(答案)

海洋大学 2013年清考试题 《换热器原理与设计》课程试题 课程号: 1420017 √ 考试 □ A 卷 □ 闭卷 □ 考查 □ B 卷 √ 考试 一.填空题(10分。每空1分) 1.相比较沉浸式换热器和喷淋式换热器,沉浸式换热器传热系数 较低。 2.对于套管式换热器和管壳式换热器来说, 套管式换热器 金属耗量多,体积大,占地面积大,多用于传热面积不大的换热器。 3.在采用先逆流后顺流<1-2>型热效方式热交换器时,要特别注意温度交叉问题,避免的方法是 增加管外程数 和两台单壳程换热器串联。 4.在流程的选择上,腐蚀性流体宜走 管程,流量小或粘度大的流体宜走壳程,因折流档板的作用可使在低雷诺数(Re >100)下即可达到湍流。 5.采用短管换热,由于有入口效应,边界层变薄,换热得到强化。 6. 相对于螺旋槽管和光管,螺旋槽管的换热系数高. 7. 根据冷凝传热的原理,层流时,相对于横管和竖管,横管 传热系数较高。 8.减小管子的支撑跨距能增加管子固有频率,在弓形折流板缺口处不排管,将 减小 管子的支撑跨距 9. 热交换器单位体积中所含的传热面积的大小大于等于700m 2/m 3,为紧凑式换热器。 10. 在廷克流动模型中ABCDE5股流体中,真正横向流过管束的流路为B 股流体,设置旁路挡板可以改善C 股流体对传热的不利影 GDOU-B-11-302 班级: 姓 名: 学号: 试题共 4 页 加白纸3 张 密 封 线

响。

二.选择题(20分。每空2分) 1.管外横向冲刷换热所遵循侧传热准则数为 (C ) A. 努赛尔准则数 B. 普朗特准则数 C. 柯尔本传热因子 D. 格拉肖夫数 2.以下哪种翅片为三维翅片管( C ) A. 锯齿形翅片 B. 百叶窗翅片 C. C管翅片 D. 缩放管 3.以下换热器中的比表面积最小( A ) A.大管径换热器B.小管径换热器 C.微通道换热器 D. 板式换热器 4. 对于板式换热器,如何减小换热器的阻力(C ) A.增加流程数B.采用串联方式 C.减小流程数 D. 减小流道数。 5.对于板翅式换热器,下列哪种说法是正确的( C ) A.翅片高度越高,翅片效率越高 B.翅片厚度越小,翅片效率越高 C.可用于多种流体换热。 D. 换热面积没有得到有效增加。 6.对于场协同理论,当速度梯度和温度梯度夹角为( A ),强化传热效果最好。 A.0度B.45度 C.90度 D. 120度 7. 对于大温差加热流体 (A ) A.对于液体,粘度减小B.对于气体,粘度减小 C.对于液体,传热系数减小 D. 对于气体,传热系数增大 8. 对于下列管壳式换热器,哪种换热器不能进行温差应力补偿( B ) A.浮头式换热器B.固定管板式换热器 C.U型管换热器 D. 填料函式换热器。 9. 对于下列管束排列方式,换热系数最大的排列方式为( A ) A.正三角形排列B.转置三角形排列 C.正方形排列 D. 转正正方形排列。 10. 换热器流体温度高于1000℃时,应采用以下何种换热器(A )

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

热交换器原理与设计

绪论 1. 2.热交换器的分类: 1)按照材料来分:金属的,陶瓷的,塑料的,是摸的,玻璃的等等 2)按照温度状况来分:温度工况稳定的热交换器,热流大小以及在指定热交换区域内的温度不随时间而变;温度工况不稳定的热交换器,传热面上的热流和温度都随时间改变。3)按照热流体与冷流体的流动方向来分:顺流式,逆流式,错流式,混流式 4)按照传送热量的方法来分:间壁式,混合式,蓄热式 恒在壁的他侧流动,两种流体不直接接触,热量通过壁面而进行传递。 过时,把热量储蓄于壁内,壁的温度逐渐升高;而当冷流体流过时,壁面放出热量,壁的温度逐渐降低,如此反复进行,以达到热交换的目的。 第一章 1.Mc1℃是所需的热量,用W表示。两种流体在热交换器内的温度变化与他们的热容量成反比;即热容量越大,流体温度变化越小。 2.W—对应单位温度变化产生的流动流体的能量存储速率。 4.顺流和逆流情况下平均温差的区别:在顺流时,不论W1、W2值的大小如何,总有μ>0,因而在热流体从进口到出口的方向上,两流体间的温差△t总是不断降低;而对于逆流,沿着热流体进口到出口方向上,当W1<W2时,μ>0,△t不断降低,当W1>W2时,μ<0,△t不断升高。 5.P(定义式P12) 物理意义:流体的实际温升与理论上所能达到的最大温升比,所以只能小于1。 6.R—冷流体的热容量与热流体的热容量之比。(定义式P12) 7.从φ值的大小可看出某种流动方式在给定工况下接近逆流的程度。除非处于降低壁温的目的,否则最好使φ>0.9,若φ<0.75就认为不合理。 (P22 例1.1) 8.所谓Qmax是指一个面积为无穷大且其流体流量和进口温度与实际热交换器的流量和进口温度相同的逆流型热交换器所能达到的传热量的极限值。 9.实际传热量Q与最大可能传热量Qmaxε表示,即ε=Q/Qmax。意义:以温度形式反映出热、冷流体可用热量被利用的程度。 10.根据ε的定义,它是一个无因次参数,一般小于1。其实用性在与:若已知ε及t1′、t2′时,就可很容易地由Q=εW min(t1′-t2′)确定热交换器的实际传热量。 11.带翅片的管束,在管外侧流过的气体被限制在肋片之间形成各自独立的通道,在垂直于 流动方向上(横向)不能自由运动,也就不可能自身进行混合,

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

七人表决器课程设计报告

课程设计 课程名称硬件描述语言与EDA技术 题目名称硬件描述语言与EDA技术实践学生学院材料与能源 专业班级 11微电子学(1)班 学号 学生姓名 指导教师 2014年6月27日

广东工业大学课程设计任务书 题目名称硬件描述语言与EDA技术实践 学生学院材料与能源学院 专业班级11微电子学(1)班 姓名 学号 一、课程设计的内容与要求 1.系统功能分析,分模块层次化设计; 2.实现系统功能的方案设计; 3.编写各功能模块VHDL语言程序; 4.对各功能模块进行编译、综合、仿真和验证; 5.顶层文件设计,可用VHDL语言设计,也可以用原理图设计; 6.整个系统进行编译、综合、仿真和验证; 7.在CPLD/FPGA实验开发系统试验箱上进行硬件验证; 8.按所布置的题目要求,每一位学生独立完成全过程。 二、课程设计应完成的工作 1.所要求设计内容的全部工作; 2.按设计指导书要求提交一份报告书; 3.提交电子版的设计全部内容:工程目录文件夹中的全部内容,报告书

三、课程设计进程安排 序号设计各阶段内容地点起止日期 1 布置设计题目和要求;收集相关资料。工3-317或宿舍 6.23 2 方案分析与确定;编写VHDL源程序。工3-317或宿舍 6.24 3 编写VHDL源程序;编译、综合、仿真、 定时分析、适配。 工3-317或宿舍 6.25 4 下载和硬件验证;验收。工3-317 6.26 5 下载和硬件验证;验收;撰写报告工3-317 6.27 6 7 8 四、应收集的资料及主要参考文献 1.陈先朝,硬件描述语言与EDA技术实践指导书,2014年3月 2.曹昕燕等编著,EDA技术实验与课程设计,清华大学出版社,2006年5 月 3.刘欲晓等编著,EDA技术与VHDL电路开发应用实践,电子工业出版社, 2009年4月 4.刘昌华等编著,数字逻辑EDA设计与实践:MAX+plusⅡ与QuartusⅡ双 剑合璧,国防工业出版社,2009年 5.刘江海主编,EDA技术课程设计,华中科技大学出版社,2009年1月 发出任务书日期: 2014年6月 23日指导教师签名: 计划完成日期: 2014年6月 27日基层教学单位责任人签章: 主管院长签章:

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书 专业:电气工程及其自动化班级:13电气专升本 学号:130732002 姓名:陈云飞 指导教师:耿素军 二零一三年十一月二十六日

四路数电抢答器 一、课程设计(论文)要求及原始数据(资料): 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。 二、课程设计进度: 二、主要参考文献 【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007 【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000 【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001 【5】吕思忠《数字电路实验与课程设计》,2001

专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强 课程设计(论文) 工作截至日期 2013-12-10 课程设计评语 课程设计成绩;

目录 1课程设计目的 (1) 2设计指标 (1) 3 总体框题设计 (1) 4方案设计 (2) 5总电路图设计 (8) 6使用元件 (9) 7总结 (10) 8 参考文献 (11)

一、课程设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计指标 (1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 (2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,启动、复位电路。 (5)设计计分电路,犯规电路。 (6)安装自己设计的电路和仿真。 (7)写出设计报告。 三、总体框图设计 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

相关文档
最新文档