任意波形信号发生器源代码

任意波形信号发生器源代码
任意波形信号发生器源代码

LIBRARY IEEE; --正弦信号发生器源文件

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

LIBRARY my;

USE my.my_rom.ALL;

ENTITY SINGT IS

PORT ( CLK : IN STD_LOGIC; --信号源时钟

DOUT : OUT STD_LOGIC_VECTOR ((rom_width-1) DOWNTO 0) );--8位波形数据输出

END;

ARCHITECTURE behav OF SINGT IS

SIGNAL i:integer range 0 to (adr_high-1):=0;--设定内部节点作为地址计数器

BEGIN

PROCESS(CLK)

BEGIN

if CLK'event and CLK='1' then

if i = (adr_high-1) THEN

i <= 0;

ELSE

i <= i + 1;

END IF;

end if;

dout <= my_rom(i);

END PROCESS;

END behav;

LIBRARY IEEE; --正弦信号发生器源文件

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

PACKAGE my_rom IS

CONSTANT rom_width : POSITIVE := 8;

CONSTANT adr_high : POSITIVE := 64;

SUBTYPE rom_word IS STD_LOGIC_VECTOR((rom_width-1) DOWNTO 0);

TYPE rom_table IS ARRAY(0 TO (adr_high-1)) OF rom_word;

CONSTANT

my_rom:rom_table:= ( "11111111","11111110","11111100","11111001","11110101","11101111","11101001","11100001", --00-0f "11011001","11001111","11000101","10111010","10101110","10100010","10010110","10001001", -- "01111100","01110000","01100011","01010111","01001011","01000000","00110101","00101011", --10-1f "00100010","00011010","00010011","00001101","00001000","00000100","00000001","00000000", -- "00000000","00000001","00000100","00001000","00001101","00010011","00011010","00100010", --20-2f

"00101011","00110101","01000000","01001011","01010111","01100011","01110000","01111100", -- "10001001","10010110","10100010","10101110","10111010","11000101","11001111","11011001", --30-3f "11100001","11101001","11101111","11110101","11111001","11111100","11111110","11111111" );-- END;

创新性实验研究报告 课程名称:基于51系列单片机的波形发生器研究实验项目名称多功能波形发生器及特定波形幅值调节 姓名XXX_学号_XXXXXX 手机XXXXXXXXX Email XXXXXXXXXXXX 专业自动化_班级_XXXXXXX _ 指导教师及职称___XXX__ 开课学期2011 至2012 学年第一学期 提交时间2011 年12 月29 日

一、实验摘要 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。例如在通信、广播、电视系统中都需要射频发射,这就需要信号发生器,在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振图像等,都需要功率或大或小、频率或高过低的信号。在现代社会中,自动化技术早已渗透到社会生产的各个领域中,高精度、宽频率、高稳定性的信号发生器对于所属整体系统的性能改善和提高起着至关重要的作用。多波形的函数信号发生器是电子实验室的基础设备之一,目前各类学校广泛使用的是标准产品,虽然功能齐全,性能指标高,但是价格昂贵,本文所研究的信号发生器采用单片机和DAC0832结合起来,通过数字电子电路向模拟电路转化,该系统虽然性能指标不如标准产品,但是它体积小,成本低,便于携带等特点,亦可作为电子随身设备之一。本次创新性实验将由AT89C51单片机和DAC0832数模转换器构成波形发生器,此波形发生器可产生方波、三角波、锯齿波、梯形波、阶梯波等多种波形,波形的幅值可以用程序进行改变,并可根据需要选择单极性输出,具有线路简单、结构紧凑、价格低廉、性能优越等优点。在本设计的基础上,加上按键用来更改不同波形之间的切换,实现不同波形的输出。 二、实验目的 在电子设备中,经常需要产生各种波形,本设计要求利用单片机和模数转换芯片组成波形发生器硬件系统,需要完成下列任务: (1)能够通过按键控制,产生方波、三角波、锯齿波、梯形波、阶梯波五种波形。(2)能够通过原理图调试进行改变各个波形的幅度。 三、实验场地及仪器、设备和材料: (1)AT89C51芯片1个 (2)DAC0832芯片1个 (3)OPAMP放大器芯片1个 (4)电阻2个电容3个可调电阻1个排阻1个 (5)开关6个 (6)Protues软件 (7)晶振1个 (8)示波器1台 四、实验内容 1、实验原理 波形的产生是通过AT89C51单片机执行某一波形发生程序,向DAC0832转换器的输入端按一定的规律发生数据,从而在D/A转换电路的输出端得到相应的电压波形。 AT89C51单片机的最小系统有三种联接方式。一种是两级缓冲器型,即输入数据经过两级

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

信号发生器概述 凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。 信号源是根据用户对其波形的命令来产生信号的电子仪器。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。 信号源的分类和作用 信号源有很多种分类方法,其中一种方法可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出模拟波形;逻辑信号源输出数字码形。混和信号源又可分为函数信号发生器和任意波形/函数发生器,其中函数信号发生器输出标准波形,如正弦波、方波等,任意波/函数发生器输出用户自定义的任意波形;逻辑信号发生器又可分为脉冲信号发生器和码型发生器,其中脉冲信号发生器驱动较小个数的的方波或脉冲波输出,码型发生器生成许多通道的数字码型。如泰克生产的AFG3000系列就包括函数信号发生器、任意波形/函数信号发生器、脉冲信号发生器的功能。 另外,信号源还可以按照输出信号的类型分类,如射频信号发生器、扫描信号发生器、频率合成器、噪声信号发生器、脉冲信号发生器等等。信号源也可以按照使用频段分类,不同频段的信号源对应不同应用领域。 下面我们将对函数信号发生器和任意波形/函数发生器做简要介绍: 1、函数信号发生器 函数发生器是使用最广的通用信号源,提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫描功能。 函数波形发生器在设计上分为模拟式和数字合成式。众所周知,数字合成式函数信号源(DDS)无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟式,其锁相环(PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phaseJitter)及频率漂移均能达到相当稳定的状态,但数字式信号源中,数字电路与模拟电路之间的干扰始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器,如今市场上的大部分函数信号发生器均为DDS信号源。 2、任意波形发生器 任意波形发生器,是一种特殊的信号源,不仅具有一般信号源波形生成能力,而且可以仿真实际电路测试中需要的任意波形。在我们实际的电路的运行中,由于各种干扰和响应的存在,实际电路往往存在各种缺陷信号和瞬变信号,如果在设计之初没有考虑这些情况,有的将会产生灾难性后果。任意波发生器可以帮您完成实验,仿真实际电路,对您的设计进行全面的测试。 由于任意波形发生往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波

《基于Verilog HDL语言的多功能波形发生器设计》第1页共22页 基于Verilog HDL语言的多功能波形发生器设计 学生姓名:指导老师: 摘要:本文主要探索了应用EDA灵活可重复编程和方便在系统重构的特性,以Verilog HDL为设计语言,将硬件功能以软件设计来描述,提高了产品的集成度,缩短开发周期。所设计的波形发生器可产生正弦波(sina_wave)、锯齿波(swat_wave)、矩形波(squr_wave)、三角波(trig_wave)四种信号,能够实现信号的转换并且频率可调;设计的频率计以1Hz为基准信号,测量的范围是1Hz—9999Hz,测量的结果以四位十进制的形式输出。能实现任意波形的输出并且能够测量外来信号的频率,这也是本文的设计思路。 关键词: DDS;;Verilog HDL;EDA;Max+PlusⅡ;波形发生器 Abstract:This article explores the application of EDA to facilitate flexible and reprogrammable and reconstruction in the system features to Verilog HDL design language, the hardware functions to software design to describe and improve product integration, shorten the development cycle. Waveform generator designed to produce sine wave (sina_wave), ramp (swat_wave), rectangular wave (squr_wave), triangular wave (trig_wave) four signals, to achieve signal conversion and frequency adjustable; designed to 1Hz frequency counter For the reference signal, measured in the range 1Hz-9999Hz, the measurement results in the form of four decimal output. which is the design idea of this article . Key words: DDS; Verilog HDL;EDA; Max+PlusⅡ; a rbitrary waveform generator

电子信息工程专业 单片机课程设计报告 题目简易波形发生器姓名 学号 班级 指导教师 2013年7 月4 日

要求: 1.指导教师按照课程设计大纲要求完成学生课程设计指导工作。2.课程设计任务书由指导教师照大纲要求填写,内容要全面。 3.课程设计报告由参加本学生填写。课程设计结束时交指导教师。4.指导教师要根据每一位学生课程设计任务完成情况,认真审核设计报告,并在课程设计结束时,给出客观、准确的评语和成绩。 5.课程设计任务书和报告要语言流畅,图表正确规范。 6.本表要用钢笔、圆柱笔填写或打印,字迹工整。

课程设计报告 1 设计原理与技术方法: 1.1 电路工作原理分析 本次单片机实习采用的是单片机STC89C52,对于简易波形发生器设计的硬件电路主要为三个部分,为显示部分、键盘部分、D/A转换电路,以下对三个部分分别介绍。 1.1.1 显示电路原理 如图1.1所示八位八段数码管为共阴极数码管,通过两个74HC573锁存器与单片机连接,一片573的LE为位选信号另一片的LE为段选信号,分别由单片机的P2.7和P2.6控制,高电平有效。当P2.7=1、P2.6=0时,位选有效,P0.0-P0.7分别控制01-08八位数码管选通,低有效,即通过P0口送出数据,哪一位为0则哪一位数码管有显示;当P2.6=1、P2.7=0时,段选有效,此时P0.0-P0.7分别控制每一位八段数码管的每一段a b c d e f g dp 的亮灭,高有效,从而使数码管显示数字0-9。显示段码如表1.1所示。 图 1.1 显示电路 表1.1 共阴极数码管显示段码 1.1.2 键盘电路原理 如图1.2所示为4×4的矩阵式键盘与单片机的P3口相连,行连接P3.0-P3.3,列连接P3.4-P3.5。用扫描法对按键进行扫描,先将所有行置0,所有列置1,当有按键按下时,通过对P3口的状态查询则按下的按键所在列将为0,其余仍未1,通过延时去抖动判断是否真有按键按下,若有,则逐行扫描,判断按键所在行,最后返回按键键码,并去执行相应

函数波形发生器设计 摘要 函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过差分放大器形成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 经过仿真得出了方波、三角波、正弦波、方波——三角波转换及三角波——正弦波转换的波形图。 关键字:函数信号发生器、集成运算放大器、晶体管差分放 设计目的、意义 1 设计目的 (1)掌握方波—三角波——正弦波函数发生器的原理及设计方法。 (2)掌握迟滞型比较器的特性参数的计算。 (3)了解单片集成函数发生器8038的工作原理及应用。 (4)能够使用电路仿真软件进行电路调试。 2 设计意义 函数发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。 在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都学要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而广泛用于通信、雷达、导航、宇航等领域。 设计内容 1 课程设计的内容与要求(包括原始数据、技术参数、条件、设计要求等): 1.1课程设计的内容 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; (4)对单片集成函数发生器8038应用接线进行设计。 1.2课程设计的要求 (1)提出具体方案 (2)给出所设计电路的原理图。 (3)进行电路仿真,PCB设计。 2 函数波形发生器原理 2.1函数波形发生器原理框图 图2.1 函数发生器组成框图

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

基于系列单片机的多功能波形发生器及特定波形幅值调节

————————————————————————————————作者:————————————————————————————————日期:

创新性实验研究报告 课程名称:基于51系列单片机的波形发生器研究实验项目名称多功能波形发生器及特定波形幅值调节 姓名XXX_学号_XXXXXX 手机XXXXXXXXX Email XXXXXXXXXXXX 专业自动化_班级_XXXXXXX _ 指导教师及职称___XXX__ 开课学期2011 至2012 学年第一学期 提交时间2011 年12 月29 日

一、实验摘要 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。例如在通信、广播、电视系统中都需要射频发射,这就需要信号发生器,在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振图像等,都需要功率或大或小、频率或高过低的信号。在现代社会中,自动化技术早已渗透到社会生产的各个领域中,高精度、宽频率、高稳定性的信号发生器对于所属整体系统的性能改善和提高起着至关重要的作用。多波形的函数信号发生器是电子实验室的基础设备之一,目前各类学校广泛使用的是标准产品,虽然功能齐全,性能指标高,但是价格昂贵,本文所研究的信号发生器采用单片机和DAC0832结合起来,通过数字电子电路向模拟电路转化,该系统虽然性能指标不如标准产品,但是它体积小,成本低,便于携带等特点,亦可作为电子随身设备之一。本次创新性实验将由AT89C51单片机和DAC0832数模转换器构成波形发生器,此波形发生器可产生方波、三角波、锯齿波、梯形波、阶梯波等多种波形,波形的幅值可以用程序进行改变,并可根据需要选择单极性输出,具有线路简单、结构紧凑、价格低廉、性能优越等优点。在本设计的基础上,加上按键用来更改不同波形之间的切换,实现不同波形的输出。 二、实验目的 在电子设备中,经常需要产生各种波形,本设计要求利用单片机和模数转换芯片组成波形发生器硬件系统,需要完成下列任务: (1)能够通过按键控制,产生方波、三角波、锯齿波、梯形波、阶梯波五种波形。(2)能够通过原理图调试进行改变各个波形的幅度。 三、实验场地及仪器、设备和材料: (1)AT89C51芯片1个 (2)DAC0832芯片1个 (3)OPAMP放大器芯片1个 (4)电阻2个电容3个可调电阻1个排阻1个 (5)开关6个 (6)Protues软件 (7)晶振1个 (8)示波器1台 四、实验内容 1、实验原理 波形的产生是通过AT89C51单片机执行某一波形发生程序,向DAC0832转换器的输入端按一定的规律发生数据,从而在D/A转换电路的输出端得到相应的电压波形。 AT89C51单片机的最小系统有三种联接方式。一种是两级缓冲器型,即输入数据经过两级

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

学号 XXXX大学 单片机原理及应用A课程设计 设计说明书 四种波形发生器 起止日期:2017 年 5 月29 日至2017 年 6 月9日 学生 班级 成绩 指导教师(签字) 控制与机械工程学院 2017年6月9 日

目录 绪论 (1) 1、设计目的 (2) 2、课程设计题目和实现目标 (3) 3、设计方案 (4) 4、主要芯片介绍 (5) 4.程序流程图 (9) 5、Proteus仿真原理图 (10) 6、设计心得体会 (11) 参考文献 (12)

绪论 近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。单片机即单片微型计算机。(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。它体积小,成本低,功能强,广泛应用于工业自动化上和智能产品。本次基于51系列单片机实验平台开发课程设计,是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。实验主要包括,以STC89C52RC 单片机作为核心板,实现电路原理图设计,LCD显示模块、串口通信模块、数码管显示模块、LED流水灯、按键操作等电路的设计、焊接与仿真。编程软件采用keil 4及proteus 7.8仿真软件进行仿真。

摘要 波形发生器又称为振荡器,它不需要输入信号的激励,电路通过正反馈,将直流电源的能量转换为各种稳定的、随时间周期性变化的交流信号的能量而输出。即没有输入就有输出,根据输出信号波形的不同,分为正弦波振荡器和非正弦波振荡器两大类。波形发生器是一种广泛应用于电子电路、自动控制和科学实验等领域的信号源。比如电参量的测量、雷达、通信、电子对抗与电子系统、宇航和遥控遥测技术等等。RC 桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。方波通过积分运算电路,整形为三角波。 关键词正弦波发生器/过零比较器/电压跟随器/正弦波/方波/三角波

目录 1方案设计 (1) 2 简易波形发生器原理级框图 (4) 2.1 基本原理 (4) 2.2 原理框图 (4) 3 正弦波发生电路 (5) 3.1 正弦波振荡器原理和结构 (5) 3.2 产生振荡的条件 (5) 3.2.1振荡平衡条件 (5) 3.2.2 振荡起振条件 (6) 3.3 RC选频网络 (7) 3.3.1 RC桥式振荡器电路 (7) 3.3.2 RC桥式振荡器的选频特性 (8) 3.3.3 电压跟随器 (9) 4 方波发生电路 (11) 4.1 迟滞比较器 (11) 4.2 方波产生原理 (12) 5 三角波的产生电路 (13) 5.1方波到三角波的转换原理 (13) 6 简易波形发生器的设计 (15) 6.1简易波形发生器的总原理 (15) 6.1.1 输出波形 (15) 6.1.2 频率范围 (16) 6.1.3 输出电压 (16) 6.1.4 显示输出波形的类型 (16) 7 设计总结与心得体会 (17) 致谢 (18) 主要参考文献 (19) 附录一:总原理电路图 (20) 附录二:元件清单 (21)

信号发生器报告

————————————————————————————————作者:————————————————————————————————日期:

基于虚拟仪器的信号发生器的设计 【摘要】虚拟仪器是将仪器技术、计算机技术、总线技术和软件技术紧密的融合在一起,利用计算机强大的数字处理能力实现仪器的大部分功能,打破了传统仪器的框架,形成的一种新的仪器模式。 本次设计主要是阐述虚拟信号发生器的前面板和程序框图的设计。设计完的信号发生器的功能包括能够产生正弦波、矩形波、三角波、锯齿波四种信号波形;波形的频率、幅值、相位、偏移量及占空比等参数由前面板控件实时可调。 【关键词】虚拟仪器,信号发生器,LABVIEW 引言 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。 1.信号发生器的发展 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或用作脉冲调制器的脉冲信号发生器。由于早期的信号发生器机械结构比较复杂,功率比较大,电路比较简单,因此发展速度比较慢。直到1964年才出现第一台全晶体管的信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形,由于模拟电路的漂移较大,使其输出的波形的幅度稳定性差,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形则电路结构非常复杂。自从70年代微处理器出现以后,利用微处理器、模数转换器和数

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

目录 摘要 (3) Abstract (4) 课题背景 (5) 1课题简介 (6) 1.1 课设目的 (6) 1.2 课设原理 (6) 1.3课设工具 (7) 2设计方案 (8) 2.1方案选择 (8) 2.2设计流程 (8) 3 仿真结果 (13) 3.1编译警告 (13) 3.2编译结果 (14) 3.3建立仿真文件 (14) 3.4仿真结果 (16) 3.5 RTL视图 (16) 4程序分析 (18) 4.1VHDL语言分析 (18) 4.2主要函数语句分析 (18) 5小结 (20) 6参考文献 (21) 7附录源程序代码 (22)

摘要 多功能信号发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。 本文首先介绍了函数信号发生器的研究背景和DDS的理论。然后详尽地叙述了利用Verilog HDL描述DDS模块的设计过程,以及设计过程中应注意的问题。文中详细地介绍了多种信号的发生理论、实现方法、实现过程、部分Verilog HDL代码以及利用Modelsim仿真的结果。 文中还介绍了Altera公司的DE2多媒体开发平台的部分功能及使用,并最终利用DE2平台完成了多功能信号发生器的大部分功能。包括由LCD显示和按键输入构成的人机界面和多种信号的发生。数字模拟转换器是BURR-BROWN 公司生产的DAC902。 该信号发生器能输出8种不同的信号,并且能对输出信号的频率、相位以及调制信号的频率进行修改设定。 关键词:VHDL D/A接口设计

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

电子技术课程设计题目:多功能信号发生器 院系:xxxxxxxxx 专业:xxxxxxxxxx 班级:xxxxxxxxxxxxxx 学号:xxxxxxxxxxxxxxxxxxxxxx 姓名: xxxxxxxxxxx 指导老师:xxxxxxxxxx 日期:2012年12月21日

目录 一.课程设计的目的............................................................................... 二.课程设计任务书............................................................................... 三.时间进度安排.................................................................................... 1. 方案选择及电路工作原理........................................................... 2. 单元电路设计计算、电路图及软件仿真........................................ 3. 安装、调试并解决遇到的问题....................................................... 4. 电路性能指标测试............................................................................ 5. 写出课程设计报告书........................................................................ 四.总体方案............................................................................................ 五.电路设计............................................................................................ 1.8038原理和LM318的原理.............................................................. 2.性能、特点及引脚............................................................................ 3.电路设计的原理............................................................................. 4.振动频率及参数计算........................................................................ 六.电路调试............................................................................................ 七.收获和体会.......................................................................................

相关文档
最新文档